CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - SRAM 控制

搜索资源列表

  1. ram

    0下载:
  2. 实现了对于SRAM的读写控制输入和输出,能够连续的进行读写操作以及能够对各种四则运算的嵌入-Achieved for the SRAM read and write control input and output, can continuous operation and can read and write all four of embedded computing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2937
    • 提供者:warm
  1. 040402~~

    0下载:
  2. 虽然与SRAM相比,SDRAM需要额外的控制逻辑,有更复杂的时序要求,需要定时刷新,但是由于SDRAM具有单位空间存储容量大和价钱便宜的优点,因而被许多的嵌入式开发者所青睐。为此,针对这种情况,必须设计SDRAM控制器。为了降低系统成本,本课题采用FPGA技术,并使用VHDL语言研究了FPGA与SDRAM的存储器接口实现问题。-Abstract In order to expand the SDRAM’S storage capacity of the TS一101 processor,a me
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:254932
    • 提供者:zhangying
  1. MCU_Design_traffic_lights

    0下载:
  2. 本次设计为十字路口交通灯控制系统设计,硬件部分它以8031单片机为核心,并在此基础上扩展了程序存储器(EPROM)2764、静态数据存储器(SRAM)6264,利用地址锁存器74LS373扩展I/O并行接口芯片8255A。软件部分它结合定时/计数等知识进行程序编译。-The design for the intersection traffic light control system design, hardware components it to 8031 as the core, and
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:43320
    • 提供者:jk
  1. SRAM_WR

    0下载:
  2. 实现对SRAM的读写。具体功能:在DE2开发板上通过键盘SW0-SW3输入数据存入SRAM中,同时LEDR0=LEDR3显示输入数据;SW17控制SRAM的输入与读出,LEDR4-LEDR7显示读出结果。-To achieve the SRAM read and write. Specific features: In the DE2 development board via the keyboard SW0-SW3 input data into the SRAM, while LEDR0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:337809
    • 提供者:
  1. SD2068Amarket1.4

    0下载:
  2. SD2068 是一种具有标准IIC 接口的实时时钟芯片,CPU 可使用该接口通过5 位地址寻址来读写片内32 字节寄存器的数据(包括时间寄存器、报警寄存器、控制寄存器、通用SRAM 寄存器)。-SD2068 is a standard IIC interface with real-time clock chip, CPU can use the interface addressing the 5-bit address to read and write on-chip 32-byte re
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-01
    • 文件大小:284477
    • 提供者:郑文兵
  1. sram_my

    0下载:
  2. 实现FPGA对sram的控制,仿真验证通过,注解详细-An sram control to realize the FPGA, and the simulation verify through
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1592
    • 提供者:水哥
  1. FPGA-SDRAM-control-code

    0下载:
  2. 该程序是FPGA控制DDR SRAM的控制源代码,使得SDRAM的控制变得简单。-This program is DDR SDRAM control code ,it makes the operation of SDRAM more easy.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:41893
    • 提供者:didi
  1. verilogsram

    0下载:
  2. 用FPGA实现SRAM读写控制的Verilog代码-SRAM FPGA implementation using Verilog code to read and write control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:315122
    • 提供者:Tom
  1. tst_saa7113h

    0下载:
  2. 飞利浦的视频解码芯片SAA7113H的Verilog控制源代码,该源代码加入了SRAM和DSP,很值得参考-The Verilog control code of Philips video decoder chip SAA7113H , the source code combine the interface of SRAM and DSP, it is worth considering
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:8548
    • 提供者:GC
  1. sram_duxie

    0下载:
  2. 用FPGA控制的SRAM读写程序,要写的数据是由FPGA内部寄存器产生-Control with FPGA SRAM read and write procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:816
    • 提供者:xiao
  1. sram1

    0下载:
  2. 这个是FPGA最简单控制SRAM的方法之一,可以直接嵌套到你们的代码中,建议先看时序分析-This is the simplest control SRAM FPGA one of the methods can be nested directly to your code, look at the timing analysis proposed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:150408
    • 提供者:DMANO
  1. sdram_control

    0下载:
  2. 该代码主要实现了对静态sram的控制,分为三个模块,控制部分,数据产生路径部分,实现了对sram的写入与读出操作。-The code is mainly to achieve the static SRAM control, is divided into three modules, control, data generating portion of the path, SRAM implementation of write and read operations.
  3. 所属分类:software engineering

    • 发布日期:2017-05-12
    • 文件大小:2785456
    • 提供者:xiaojuan
  1. VGA_CCD531

    0下载:
  2. 本文围绕一个包含Nios II软核处理器的可编程片上系统展开数码相机的样机设计。论文首先对样机所要达到的整体功能进行了规划,接下来并行开展了软硬件设计。在硬件方面,充分利用了所使用平台提供的SD卡插槽、键盘、数码管、SRAM等各种硬件资源,并用Verilog HDL硬件描述语言设计了样机系统所需要的VGA接口控制器、CMOS图像传感器接口控制器以及VGA显示存储器;在软件方面,本文基于Nios II软核处理器用C语言实现了SD卡的驱动、FAT文件系统的移植、VGA显视器的驱动以及BMP图片文件的
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:15078555
    • 提供者:
  1. Csramzipo

    0下载:
  2. 对常用的sram完成读写控制,可以根据具体地址增增加参数,非常灵活 ,经测试可直接使用。 -Control on the commonly used sram read and write, add a parameter can be increased according to the specific address, is very flexible and has been tested and can be used directly.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-16
    • 文件大小:144767
    • 提供者:smms
  1. USB_Fw

    0下载:
  2. cy7c68013的固件程序,实现所有IO的控制,eeprom、sram的读写-the firmware of cy7c68013 to achieve all the IO control, eeprom, sram read and write
  3. 所属分类:SCM

    • 发布日期:2017-12-02
    • 文件大小:177854
    • 提供者:nathanial
  1. sram_bridge

    0下载:
  2. 多用户访问SRAM,使用开关切换,包括数据总线和控制信号,fpga总线桥-Multi-user access to SRAM, switching, and includes a data bus and control signal, FPGA bus bridge
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:582
    • 提供者:王明新
  1. FPGA-SRC

    1下载:
  2. 用于DSP+FPGA开发系统,可用于采集一帧图像并控制SRAM、SDRAM数据存取。-Used in DSP+ FPGA development system, to capture an image and control the SRAM, SDRAM data access.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:2826125
    • 提供者:李雷
  1. zgw

    0下载:
  2. 基于8255的lcd存储示波器显示 a) 用AD转换器采集输入信号,存入SRAM(例6264)芯片中, b) 进行DA转换后回放SRAM中的数据,通过模拟示波器显示。 c) 利用键盘控制单步和连续慢放信号波形。 d) 波形参数可以用数值或图形在数码管或LCD上显示,或者可以结合示波器进行图形回放显示。 -Display LCD storage oscilloscope based on 8255 A) AD converter with input signal i
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:1113
    • 提供者:赵光桅
  1. DPS2812M_EXTRAM

    0下载:
  2. 合众达2812开发板外部SRAM例程。2812通过XINTF控制外部扩展SRAM读写。-Triangle of 2812 development board external SRAM routines. 2812 through XINTF control external expansion SRAM read and write.
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:216833
    • 提供者:jierus
  1. ram

    0下载:
  2. 此文档为fpga控制双口RAM的开发文档,讲解很细,易于上手.双口RAM是在1个SRAM存储器上具有两套完全独立的数据线、地址线和读写控制线,并允许两个独立的系统同时对其进行随机性访问的存储器,即共享式多端口存储器。-This document is controlled dual-port RAM fpga development documents, explain very small, easy to use. Dual-port RAM is an SRAM memory has tw
  3. 所属分类:Communication

    • 发布日期:2017-03-31
    • 文件大小:210503
    • 提供者:uodsi
« 1 2 3 45 6 »
搜珍网 www.dssz.com