CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VC 工业

搜索资源列表

  1. 组态王6.5源代码

    3下载:
  2. 工业组态软件最新版本完整源代码。 属于工业用软件,vc编写-It is a complete source codes in latest edition about industrial software configuration,which is writed by visual c++ language.
  3. 所属分类:其他行业

    • 发布日期:2008-10-13
    • 文件大小:291288
    • 提供者:李川
  1. nasico

    0下载:
  2. 计算nasicon配料的软件,可用于工业控制用vc座的界面-nasicon ingredients calculation software can be used with industrial control interface vc Block
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:1139387
    • 提供者:shiweilei
  1. wan126

    0下载:
  2. < VC++程序设计>> 王旭编著 沈阳工业大学著
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:81972
    • 提供者:大炮
  1. yanhuakongzhi

    0下载:
  2. 研华工业用控制板卡的VC编程方法.此类板卡编程方法比较复杂,根据说明书上面说明我们可以采用两种编程方法,一种是自己编写控制各种寄存器代码进行数据的采集和输出,另外一种就是利用他封装的DLL的方法访问相应的函数进行完成.-Advantech industrial control board VC programming method. Such board programming more complicated, According to the above statement illustra
  3. 所属分类:其他行业

    • 发布日期:2008-10-13
    • 文件大小:5276
    • 提供者:sun5885
  1. MyMFCVega

    0下载:
  2. 自己编写的基于vega的mfc程序! Vega是MultiGen-Paradigm公司在实时视觉和听觉仿真应用方面推出的具有工业领先水平的 可视化应用软件环境。 Vega把先进的模拟功能与容易使用的工具相结合, 使得实现一个仿真应用变 得十分的简单、快捷。 只要安装了 vega和VC++即可运行! 是学习vega很好的例子!已经实现了运动模式的切换,环境的控制!以及全屏显示功能等!-prepared based on their loves the mfc procedures!
  3. 所属分类:GDI/图象编程

    • 发布日期:2008-10-13
    • 文件大小:74055
    • 提供者:xueliang
  1. VC_SQL

    0下载:
  2. VC数据库 书籍所配光盘 机械工业出版-VC database books dispensed CD machinery industry publication
  3. 所属分类:数据库编程

    • 发布日期:2008-10-13
    • 文件大小:984186
    • 提供者:汪友林
  1. mmtimerandsocket

    0下载:
  2. 关于vc的高精度多媒体定时器及网络通讯功能简单实现的例子,用于工业上下微机控制参考-vc on the high-precision timer and multimedia communications networks to achieve a simple example. for the next industrial microcomputer control reference
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:136696
    • 提供者:唐建林
  1. robotcalculate

    0下载:
  2. 用vc++实现的puma型工业机器人位姿解算详细算法及实例-vc used to achieve the newest type of industrial robot pose Calculation algorithm and detailed examples
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:80669
    • 提供者:唐建林
  1. com_source

    0下载:
  2. 这是一个封装得比较完整的串口类,支持同步、异步操作,使用简单高效,具有工业强度,我在BC, BCB, VC, BCBX, GCC下编译通过。-This a much more complete package of Serial category, supports synchronous and asynchronous operation, the use of simple, effective and industrial strength, I BC, BCB, VC, BCBX, t
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:56289
    • 提供者:lj
  1. pastebmpcontrol

    0下载:
  2. 贴图的方法实现工业控制开关转换,VC用户界面源码-map of industrial control switch conversion, user interface VC FOSS
  3. 所属分类:GDI/图象编程

    • 发布日期:2008-10-13
    • 文件大小:37220
    • 提供者:fxs1122
  1. LED_Control_Source_Code1_0_VB

    0下载:
  2. 包含有七段LED,点阵LED两种数字显示OCX控件源码。 用户也可以根据自己的需要,显示其它字符,如点阵中显示字母。 点阵字母显示字串如果不好确定,可用VC++中的ICON资源编辑器来确定。 七段曾参考别人的程序,在此表示感谢,点阵为自己编制。 本控件最好的用处是工业控制中的实时数据显示。 一定有不妥之处,希望大家不吝指教。-contained in paragraph 107 of LED, LED dot matrix two figures OCX Controls s
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:20330
    • 提供者:李景帆
  1. gongyezidonghuajiankong

    0下载:
  2. 工业自动化监控组态系统vc源码,winrar压缩-industrial automation control system configuration vc source, winrar Compression
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:781550
    • 提供者:liaosp_11
  1. ckcomm

    0下载:
  2. VC类,标准串口类,无消息类型,用于工业控制中
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:4010
    • 提供者:唐于
  1. industry_control_record_class

    0下载:
  2. 一个工业控制的日志类,很不错,VC开发工控方面的软件用。
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:32780
    • 提供者:tony
  1. CanTest1

    0下载:
  2. 使用vc编写的和can总线通讯,用于工业监控,自己写的,多指教。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:52791
    • 提供者:韩东
  1. 200591410549603

    0下载:
  2. 用VC实现PC并行端口数字信息的输入/输出 目前,在实验室和工业应用的各种控制系统中,串口是常用的计算机与外部控制系统之间的数据传输通道。由于串行通信方便易行,所以应用广泛。但是使用串行通信,在实时性、速度、数据量等方面受到限制。而计算机的并行端口传输数据时是一次性传送8个位(一个字节)或更多,由于传输量较大,因此数据的传输速度要比串口快,在许多必须讲究传输速度的控制系统里,用PC并行端口与之连接就是一个很好的解决方案
  3. 所属分类:其他行业

    • 发布日期:2008-10-13
    • 文件大小:30978
    • 提供者:wangshan
  1. robotsV2

    0下载:
  2. VC 工业机器人控制源码 #include<windows.h>//包含基本数据类型定义 #include<stdlib.h> //数学函数库 #include<string.h> //数学函数库 #include<stdio.h>//输入输出库 #include math.h #include winio.h #define ID_MYBUT50 50//自动运行 #define ID_MYBUT51 51//
  3. 所属分类:Algorithm

    • 发布日期:2017-04-25
    • 文件大小:169335
    • 提供者:尚当
  1. VC-Meter

    0下载:
  2. 简单的工业仪表控件,使用方便,易于理解 。-simple industry meter . 。
  3. 所属分类:Graph Drawing

    • 发布日期:2017-05-09
    • 文件大小:1558904
    • 提供者:fu
  1. TeeChart.Pro.v5.ActiveX

    0下载:
  2. VC工业控件,包含了绘图(6种不同的绘图显示),按钮,数据记录,LED指示灯等。-VC industrial controls, contains a drawing (6 different graphics display), buttons, data recording, LED lights.
  3. 所属分类:GUI Develop

    • 发布日期:2017-05-26
    • 文件大小:8834729
    • 提供者:login
  1. JH工业相机SDK

    1下载:
  2. JH工业相机SDK,包括VC参考代码,DLL,lib,已经SDK文档
  3. 所属分类:图形图像处理(光照,映射..)

« 1 2 34 5 6 7 »
搜珍网 www.dssz.com