CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VGA interface

搜索资源列表

  1. Vga

    0下载:
  2. VHDL code to digitally control the interface with a VGA display. Code is technologically independent and can be prototyped in any programmable device or ASIC.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1788
    • 提供者:celia
  1. vgactl9

    0下载:
  2. EPM240+IS61LV1024+VERILOG实现简单的VGA控制器,RGB各1bit,与AT91SAM7S64接口.-EPM240+ IS61LV1024+ VERILOG to achieve a simple VGA controller, RGB each 1bit, and AT91SAM7S64 interface.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-01
    • 文件大小:562894
    • 提供者:刘聪
  1. game

    0下载:
  2. this a fpga sparttan 3e based project in which i have made a game based on vga interface . this file is the main file included in the project.-this is a fpga sparttan 3e based project in which i have made a game based on vga interface . thi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1671
    • 提供者:yasir
  1. fpgavgavhdl

    0下载:
  2. fpga驱动vga接口的vhdl语言实现,实现彩条及方块-fpga driver vga interface vhdl language implementation to achieve color bar and the box
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:82447
    • 提供者:万小中
  1. VGA_Ctrl_VHDL

    0下载:
  2. 使用VHDL在Quartus II环境下实现对VGA接口显示器的控制,显示单色屏、彩条、棋盘格等。-The use of VHDL in the Quartus II environment to realize VGA interface display control, display monochrome screen, color bars, checkerboard grid and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:615780
    • 提供者:nostalgia
  1. VGA_test

    0下载:
  2. 基于FPGA设计的一段测试VGA接口的VHDL小程序\功能为在显示器上间隔显示横条、竖条以及棋盘格等彩条信号,希望对初学FPGA驱动VGA接口的电子爱好者有用-FPGA-based design of a VGA interface VHDL test applet \ functions for the intervals shown in the display bar, vertical bars and checkerboard patterns and other signals of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:396827
    • 提供者:常娟成
  1. Lab532-VideoJPEG2

    0下载:
  2. TI提供的所有例程都是要接到支持复合视频的电视机上面显示图像,DM642-PCI板子提供了一个VGA接口,本人经过修改显示模块,可以在VGA的显示器上面显示-TI provides support for all the routines are to receive a composite video television image shown above, DM642-PCI board provides a VGA interface, show me the revised modul
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:389402
    • 提供者:韩志军
  1. vga_controller

    0下载:
  2. 24bit的LCD控制器,由Verilog编写,带有Avalon总线接口,可以在SOPC中直接调用-24bit' s LCD controller, prepared by the Verilog with Avalon bus interface, you can directly call the SOPC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2529
    • 提供者:骨头好
  1. vga_test

    0下载:
  2. vga接口的测试程序,对vga接口程序的控制测试-vga interface, test procedures, test control interface program vga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1736
    • 提供者:fangzhendong
  1. VGA_LCD_display

    0下载:
  2. fpga开发板配合VGA接口,连接显示器来显示的程序,可以用来熟悉VGA接口的基本知识-fpga development board with VGA interface, connect the monitor to display the program can be used to familiar with the basic knowledge of VGA interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:656748
    • 提供者:张强
  1. A_digital_WaveformGenerator_and_Oscilloscope_based

    0下载:
  2. 一种基于BASYS开发板(Xilinx Spartan-3E FPGA)的波形发生器和示波器的设计,可以产生多种可调波形,并实时显示在电脑显示器或者投影仪上。波形发生器采用基于ROM的数字控制振荡器(NCO)实现,示波器采用VGA接口实时显示。-A kind of digital WaveGenerator and Oscilloscope based on tne BASYS experiment board which has a Xilinx Spartan-3E FPGA on it.T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-08-29
    • 文件大小:3417088
    • 提供者:张文
  1. vga1

    0下载:
  2. VGA 接口模块,800*600接口时序verilog实现-VGA interface module, 800* 600 interface timing verilog implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1340
    • 提供者:wangkunchi
  1. _11_vga_color_slip

    0下载:
  2. FPGA用Verilog编写VGA接口,可接在电脑显示器上-Written by Verilog FPGA VGA interface, which can be accessed on the computer monitor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:155044
    • 提供者:h15945
  1. vga_example

    0下载:
  2. 通过fpga开发板的vga接口连接显示器,显示8种颜色的彩条-fpga used to display 8-colors through the VGA interface.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:153168
    • 提供者:wuyoufei
  1. vga-controlor-of-gobang-game

    0下载:
  2. 实现棋盘和游戏开始界面及结束界面的显示,开始界面和结束界面都是256*256大小,棋盘是15*15的棋盘格,还有红色光标。用的是de-2开发板-Interface board and the game began to realize the end of the interface and the display, start and end of the interface is 256* 256 screen size, the board is 15* 15 checkerboard,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:30117
    • 提供者:
  1. vgainterface

    0下载:
  2. VGA interface design by vhdl language and has been tested. it is useful for beginers of vhdl and video processing leaners!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:356659
    • 提供者:tsincons
  1. VGA_1

    0下载:
  2. 电子琴的VGA接哦口,用来显示电子琴的界面,非常美观,可移植性很高的,大家可以参考-Oh flower of the VGA interface port, used to display the keyboard interface, very beautiful, high portability, you can refer to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:588028
    • 提供者:张航
  1. Based-on-the-FPGA-VGA-display

    0下载:
  2. VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。利用FPGA芯片和EDA设计方法,可以因地制宜,根据用户的特定需要,设计出针对性强的VGA显示控制器。-VGA ( Video Graphics Array ) as a standard display interface is widely used. Using FPGA chips and EDA design method, can suit one s measures to local conditions, accord
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:216516
    • 提供者:wyy
  1. S6_VGA

    0下载:
  2. verilog HDL编写的FPGA的VGA接口显示程序,显示所有八种色彩。-FPGA VGA interface written in verilog HDL program, showing all eight colors.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2940880
    • 提供者:马宇红
  1. user-interface-technology-

    0下载:
  2. 本书介绍了图形用户界面技术的一般原则,重点分析了有关的程序设计,并给出了所有相关的源程序。书中内容包括:EGA/VGA图形显示系统编程、键盘和鼠标输入、正文字符的描述、菜单、窗口、虚拟窗口、信息反馈、帮助系统以及自顶向下结构化程序设计、汉字发生、图形打印、目录管理、内存分配、扩展内存使用、虚拟磁盘、基于中断的驻留系统、文本编辑等。本书旨在帮助程序员建立功能强大、直观易学和实用的图形用户界面系统。-This book introduces the graphical user interface,
  3. 所属分类:GUI Develop

    • 发布日期:2017-05-24
    • 文件大小:7874192
    • 提供者:heyao
« 1 2 3 4 56 7 8 9 10 11 »
搜珍网 www.dssz.com