CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL/FPGA/Verilog

搜索资源列表

  1. FPGA控制AD程序,ADC,DAC转换接口

    2下载:
  2. FPGA控制AD程序,ADC,DAC转换接口.rar 有限状态机控制AD采样.rar,FPGA control AD procedure
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:269105
    • 提供者:黄群
  1. RS232串口通信协议

    0下载:
  2. RS232串口通信协议,verilog实现,通过FPGA完全调通。,RS232 serial communication protocol, verilog achieved entirely through the FPGA transfer pass.
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-25
    • 文件大小:3536
    • 提供者:dingsheng
  1. AD.FPGA控制AD7321的模块

    6下载:
  2. FPGA控制AD7321的模块,是本人亲自试验过的。有Verilog源码,和简单文档。,FPGA control module of the AD7321 is personally tested. There Verilog source code, and simple document.
  3. 所属分类:VHDL编程

    • 发布日期:2014-05-18
    • 文件大小:1980579
    • 提供者:柴佳
  1. RSdecoder.rar

    0下载:
  2. cpld/fpga RS(204,188)译码器的verilog程序,cpld/fpga RS (204,188) decoder of the Verilog program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:13784
    • 提供者:陈臣
  1. sram读模块基于FPGA的实现

    1下载:
  2. sram读模块基于FPGA的实现 verilog源代码,sram
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-24
    • 文件大小:942
    • 提供者:zhongjian
  1. FPGA-PCI.rar

    6下载:
  2. 基于FPGA的PCI接口源代码及Testbench Verilog程序代码,fpag pci
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2015-04-19
    • 文件大小:468204
    • 提供者:lang
  1. DDS.rar

    4下载:
  2. FPGA控制AD9854的源文件,verilog,附有简单文档。,FPGA to control the AD9854 source file, verilog, with a simple document.
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-08
    • 文件大小:819916
    • 提供者:柴佳
  1. TFT.rar

    0下载:
  2. 基于FPGA的实验。使用FPGA直接控制TFT彩屏,达到显示彩条的效果。使用FPGA连接TFT控制器,使显示一组汉字或一幅图像。 ,FPGA-based experiment. FPGA to directly control the use of TFT color display to show the effect of color. TFT controller using FPGA connected to a group of Chinese characters displaye
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-07
    • 文件大小:750
    • 提供者:贺欧
  1. ads7822

    1下载:
  2. ads7822的verilog驱动 fpga芯片为altera公司的ep2c35, 程序调试过好使-ads7822 of verilog-driven
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1410788
    • 提供者:王乐
  1. pid_controler_latest.tar

    2下载:
  2. PID控制器的verilog实现,做闭环控制器的人可以参考-PID controller verilog implementation of closed-loop controller may make reference to
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-23
    • 文件大小:3546
    • 提供者:
  1. Verilog

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-12
    • 文件大小:13293
    • 提供者:明义
  1. pwm

    0下载:
  2. PWM脉冲产生代码,程序采用VHDL硬件描述语言!很有参考价值-PWM pulse generation code, the program using VHDL hardware descr iption language! Useful reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:76376
    • 提供者:周涛
  1. FPGA

    0下载:
  2. 基于FPGA的通信系统调制解调,包括理论知识介绍和VHDL程序。包含2ASK ,2FSK,2PSK -FPGA-based modem communication systems, including the introduction of theoretical knowledge and the VHDL program. Contains 2ASK, 2FSK, 2PSK
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-05
    • 文件大小:606869
    • 提供者:songlina
  1. code

    0下载:
  2. 两个AD代码,一个是FPGA的(基于verilog) ,另一个是单片机的(基于汇编)。 还有两个基于c语言的单片机程序。还有一个脉冲宽度调制的verilog程序-Two AD code, one FPGA (based on verilog), the other is the microcontroller (based on the compilation.) There are two microcontrollers based on c language program. Ther
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:4340
    • 提供者:林龙润
  1. FPGA与SPI接口程序(hdl源代码)

    1下载:
  2. FPGA,VERILOG,SPI串口通信;(FPGA,VERILOG,SPI;;;;;;;;;)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:2048
    • 提供者:dl121
  1. VHDL verilog教程

    0下载:
  2. 多种教程包含VHDL以及verilog 收集好久(A variety of tutorials include VHDL and Verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:29597696
    • 提供者:yu我所欲
  1. fenpin4

    0下载:
  2. 使用fpga实现四分频,将单一频率信号的频率降低为原来的1/4。(Using FPGA to achieve four frequency division, the frequency of a single frequency signal is reduced to the original 1/4.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:90112
    • 提供者:新手玩家
  1. 基于FPGA的负延迟设计

    0下载:
  2. 用VHDL语言写的基于FPFA的负延迟设计(FPFA based negative delay design written in VHDL language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-11-27
    • 文件大小:153600
    • 提供者:守候在街口
  1. DeSerTSW1250_V2_1_src

    2下载:
  2. TI TSW1250 FPGA code
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-11-10
    • 文件大小:8396800
    • 提供者:roc_verilog
  1. fir滤波器

    1下载:
  2. FIR滤波器,verilog编写,可以正常使用(FIR filter, written by verilog, can be used normally, very good)
  3. 所属分类:硬件设计

    • 发布日期:2020-12-05
    • 文件大小:1031168
    • 提供者:happytian
« 1 23 4 5 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com