CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL/FPGA/Verilog

搜索资源列表

  1. uartsourcecode

    1下载:
  2. uart的FPGA模块,基于VHDL、verilog语言
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:294347
    • 提供者:王辉
  1. wp_max_flash

    0下载:
  2. FPGA中FLASH配置控制源码,VHDL和Verilog
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:38165
    • 提供者:wanggui
  1. huffman

    0下载:
  2. 用于FPGA的huffman算法的HDL编码,包括VHDL及Verilog代码。可用于JPEG及MPEG压缩算法。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10891
    • 提供者:caesar
  1. quant

    1下载:
  2. 用于FPGA的量化算法的HDL编码,包括VHDL及Verilog代码。可用于JPEG及MPEG压缩算法。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:14647
    • 提供者:caesar
  1. iquant

    0下载:
  2. 用于FPGA的反量化算法的HDL编码,包括VHDL及Verilog代码。可用于JPEG及MPEG压缩算法。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13343
    • 提供者:caesar
  1. rle

    0下载:
  2. 用于FPGA的变长编码算法的HDL编码,包括VHDL及Verilog代码。可用于JPEG及MPEG压缩算法。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4581
    • 提供者:caesar
  1. zigzag

    2下载:
  2. 用于FPGA的Z变化算法的HDL编码,包括VHDL及Verilog代码。可用于JPEG及MPEG压缩算法。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7571
    • 提供者:caesar
  1. Quartus+II+++ModelSim+SE+++后仿真+++库文件.rar

    0下载:
  2. Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。
  3. 所属分类:其它

    • 发布日期:2009-09-01
    • 文件大小:1009119
    • 提供者:t613@163.com
  1. Verilog FSM

    0下载:
  2. 本实验介绍了FSM状态机的特点 应用等 其中源代码相当的详细,适合初学人群
  3. 所属分类:VHDL编程

  1. OFDM系统中的QAM调制

    2下载:
  2. OFDM系统中的QAM调制,用verilog语言编写,用于FPGA设计,QAM modulation for OFDM system
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-24
    • 文件大小:1320
    • 提供者:周美红
  1. DataSort.rar

    0下载:
  2. FPGA内,通过Verilog语言,实现冒泡法数据排序。仅供参考!,FPGA, through the Verilog language, implementation data bubble sort method. For reference purposes only!
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-28
    • 文件大小:5037
    • 提供者:weishiji
  1. T2_USB_IN.rar

    0下载:
  2. usb芯片cy7c68013从fpga中读入数据的演示程序,verilog语言,CY7C68013 chip usb read from the FPGA into the data presentation process, verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:246550
    • 提供者:ones
  1. CORDIC_ATAN.rar

    3下载:
  2. 使用verilog语言完成了基于cordic算法求反正切的计算,精度为8次迭代,Verilog language used to complete based on CORDIC algorithm for arctangent calculation, an accuracy of 8 iterations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1710
    • 提供者:小米
  1. HPI.rar

    0下载:
  2. 基于CPLD/FPGA器件的HPI接口程序 难能可贵,HPI based on CPLD/FPGA instrument
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2268375
    • 提供者:ld
  1. CY7C68013.rar

    2下载:
  2. USB2.0的Verilog实现,含有完整的FPGA代码,Use Verilog to implement the USB2.0 protcol
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-20
    • 文件大小:600474
    • 提供者:XCP
  1. dlx_verilog.rar

    0下载:
  2. 这是我个人写的DLX处理器流水线的Verilog代码,在ModelSim中仿真通过,并且在ISE中能综合!即可以下载到FPGA中运行指令,指令可以根据需要定义,也可和相应的编译器配合使用,这里给学习流水线和Verilog的朋友共享。,This is my personal wrote DLX pipeline processor Verilog code, adopted in the ModelSim simulation and can be integrated in the ISE! T
  3. 所属分类:SCSI-ASPI

    • 发布日期:2017-03-29
    • 文件大小:9781
    • 提供者:李乔
  1. EDA.rar

    0下载:
  2. 这里边有EDA设计常用模块的源代码,FFT,DDS PS2_keyboard,VGA等,有学FPGA的就参考一下吧,Here the design of commonly used modules have EDA source code, FFT, DDS PS2_keyboard, VGA and so on, have places on the FPGA reference yourself
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:208841
    • 提供者:li
  1. sin.rar

    0下载:
  2. 用Verilog语言在FPGA内实现一256个采样点的正弦波,已尝试,挺好用的~~~,Verilog language used in the FPGA to achieve one of the 256 sampling points sine wave, I have already tried it, very useful~ ~ ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:105104
    • 提供者:tianwaifei86
  1. fpga_jpeg

    2下载:
  2. 图像jpeg压缩算法,用verilog HDL在FPGA上的实现 -Jpeg image compression algorithm, using verilog HDL Implementation in FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:103710
    • 提供者:沧海一笑
  1. canbus

    0下载:
  2. CAN总线的FPGA实现,用Verilog编写,代码完整,而且有很完善的测试代码,用ISE直接打开,学习FPGA进阶的好项目-CAN Bus FPGA, written with Verilog, code integrity, but also very good test code, using ISE directly open, a good project to learn advanced FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:862994
    • 提供者:张小琛
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 20 »
搜珍网 www.dssz.com