CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL语言

搜索资源列表

  1. 可编程逻辑系统的VHDL设计技术_0

    0下载:
  2. 可编程逻辑系统的VHDL设计技术,该本书首先对VHDL语言进行了阐述,然后用alter公司的产品进行举例!-programmable logic system VHDL design technology, the first book of VHDL expounded, and then alter the company's products, for example!
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:11019638
    • 提供者:高操
  1. 100个vhdl设计例子

    0下载:
  2. 内附多路选择器,74系列芯片VHDL源码,加法器,FIR,比较器等大量例子,对初学VHDL语言很有好处。可用maxplus,quartus,synplicity等综合软件进行调试-contains multiple-choice, 74 chips VHDL source code, the adder, FIR, comparators, etc. are plenty of examples for beginners VHDL very good. Available maxplus, Q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:233299
    • 提供者:杰轩
  1. 一个VHDL实现的测频计

    0下载:
  2. 一个vhdl实现的测频计,开发环境为任何支持vhdl语言的厂商提供的开发环境 -VHDL achieve a frequency measurement of dollars, development environment for any VHDL language support for manufacturers of the development environment
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1520
    • 提供者:yaya
  1. 8倍频vhdl

    3下载:
  2. 该文件可用vhdl语言实现时钟8倍频,运行环境可在maxplus2和ise的仿真软件上-the document available VHDL Language 8 clock frequency, the operating environment and ideally maxplus2 simulation software
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:998
    • 提供者:罗兵武
  1. vhdl平方根

    0下载:
  2. 计算某数的平方根,VHDL语言,使用简单-calculate the square root of a number, VHDL, use simple
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:40483
    • 提供者:wl
  1. 基于VHDL的UART控制器设计

    0下载:
  2. UART模块的VHDL语言设计(Design of VHDL language based on UART module)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:1039360
    • 提供者:周杰---123
  1. 用VHDL设计移位寄存器

    0下载:
  2. 实现序列检测,让你通过VHDL语言实现序列数字的发生(Sequence detector code)
  3. 所属分类:VHDL/FPGA/Verilog

  1. 硬件描述语言实验指导(1)

    0下载:
  2. 此PPT为功能指导型的,便于学生学习VHDL语言和加深对编程的理解和运用。(This PPT is a functional guide for students to learn the VHDL language and to deepen the understanding and application of programming.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:40370176
    • 提供者:海&角
  1. VHDL语言入门教程

    0下载:
  2. this book introduce the use of VHDL.
  3. 所属分类:其他

    • 发布日期:2018-01-09
    • 文件大小:2839552
    • 提供者:^U^
  1. VHDL语言100例详解

    0下载:
  2. VHDL language 100 examples
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:6633472
    • 提供者:^U^
  1. 实验21 DAC实验 - 副本

    0下载:
  2. 实现采样DAC功能,采用VHDL语言,附有源代码和整个工程。(Implement the sampling DAC function)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-09
    • 文件大小:2304000
    • 提供者:glt
  1. VHDL (2)

    0下载:
  2. 数码管显示很好用的VHDL语言很实用下载试试(Digital tube display)
  3. 所属分类:其他

    • 发布日期:2018-01-09
    • 文件大小:917504
    • 提供者:情小憶
  1. 3-8译码器VHDL描述

    0下载:
  2. 在开发板FPGA:Spartan-3E 系列,型号:XC3S500E,封装:FGT320,速度-4;利用XIlinX编程,使用VHDL语言来描述组合逻辑器件3-8译码器(In the FPGA:Spartan-3E development board series, XC3S500E, FGT320, -4 package: speed; the use of XIlinX programming, using VHDL language to describe the combination
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:10240
    • 提供者:lixilin
  1. 基于VHDL语言的VGA图像显示程序及其工程文件

    1下载:
  2. 用VHDL语言实现FPGA的VGA显示,显示一幅图片,(Implementation of FPGA's VGA display)
  3. 所属分类:图形图象

    • 发布日期:2018-04-30
    • 文件大小:38912
    • 提供者:chenqh848
  1. adv7123测试程序-vhdl

    0下载:
  2. 基于adv7123芯片的彩条测试程序,vhdl语言编写,下载即用。(Color test program based on adv7123 chip)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:3225600
    • 提供者:张三9475
  1. bysjx

    0下载:
  2. 多路LED灯调光电路,用VHDL语言编写(Multiplex LED light dimming circuit, written in VHDL language)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-03
    • 文件大小:835584
    • 提供者:sunjiacun
  1. vhdl

    0下载:
  2. 用VHDL语言实现CD4527(BCD比例乘法器)仿真(The simulation of CD4527(BCD proportional multiplier))
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-04
    • 文件大小:2048
    • 提供者:光速ZY
  1. VHDL二路洗衣机系统设计

    0下载:
  2. 洗衣机的系统设计,VHDL语言编写,采用VHDL模块化的设计方法来进行洗衣机控制器的设计,即自顶向下,从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块.最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。本控制器基本功能描述洗衣机控制器可工作于五种模式下:单洗涤、单漂洗、单脱水、漂洗十脱水、洗涤十漂洗+脱水,可以使洗衣机控制器工作在任意一种模式,并显示出洗衣机的工作状态和剩余工作时间,在剩余时间结束后有报警声提示使用者,并且可实现暂停洗衣和继续的功能,此外,加入附加功能,可自选
  3. 所属分类:文档资料

  1. 15阶m序列VHDL

    0下载:
  2. 高阶m序列,VHDL语言在ISE平台完成,生成多项式f(x)=x15+x+1
  3. 所属分类:硬件设计

  1. 2FSK调制解调的FPGA实现(VHDL)

    1下载:
  2. 2FSK调制解调的FPGA设计,基于XINLINX的ISE平台开发,采用VHDL语言设计,有设计文档,欢迎学习借鉴(The FPGA design of 2FSK modulation and demodulation, based on the ISE platform of xinlinx, is designed with VHDL language, with design documents, welcome to learn)
  3. 所属分类:VHDL/FPGA/Verilog

« 1 2 3 4 56 7 8 9 10 ... 50 »
搜珍网 www.dssz.com