CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL,EDA开发

搜索资源列表

  1. VHDLgdewrrrrrrrrrrrr

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:4245
    • 提供者:jazvy
  1. cross_lights

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4451
    • 提供者:dcy
  1. watch

    0下载:
  2. 一个用VHDL编程基于CPLD的EDA实验板开发可以实现顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。 (1) 倒计时:通过小键盘可以实现设定计时时间(以秒为单位,最大计时时间为99.9秒)。通过键盘实现计时开始、计时结束。当所设定的倒计时间到达00.0S后,自动停止倒计时,同时响铃。 (2) 顺计时:初始值为00.0S,通过键盘实现开始计时和结束计时功能。计时结束后,显示记录的时间。 (3) 用三个发光二极管正确显示以下状态:倒计时状态、顺计时状态
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:412886
    • 提供者:YUJIAN.XU
  1. abc

    0下载:
  2. 本软件设计D触发器的目的和任务:1.使学生全面了解如何应用该硬件描述语言进行高速集成电路设计;2.通过软件使用、设计与仿真环节使学生熟悉EDA-VHDL开发环境;3. 通过对基本题、综合题的设计实践,使学生掌握硬件系统设计方法(自底向上或自顶向下),熟悉VHDL语言三种设计风格,并且培养学生应用VHDL语言解决实际问题的能力。 -The software design of D flip-flop of the purpose and tasks: 1. To enable students t
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:1644
    • 提供者:hooyu
  1. 1[1]

    0下载:
  2. 设计并调试好一个由两个4 位二进制并行加法器级联而成的8 位二进制并行加法器,并 用GW48-CK EDA 实验开发系统(拟采用的实验芯片的型号为EPF10K10LC84-3)进行硬件 验证。-vhdl
  3. 所属分类:assembly language

    • 发布日期:2017-04-17
    • 文件大小:436826
    • 提供者:
  1. 2

    0下载:
  2. EDA的课程设计,利用VHDL语言、PLD设计基于FPGA的出租车计费系统,选用ALTERA公司低功耗、低成本、高性能的FPGA芯片EPF10K10,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了编译,功能仿真和下载。使其实现计费以及预置和模拟汽车启动、加速、停止、暂停等功能,并动态扫描显示车费数目。-EDA curriculum design, the use of VHDL language, PLD design FPGA-based taxi billing s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8175
    • 提供者:wang
  1. jtd

    0下载:
  2. EDA(VHDL)开发的交通灯设计,经过测试检验,正常运行。-EDA (VHDL) development of the traffic light design, tested and tested and normal operation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:420910
    • 提供者:开放
  1. shuzidianyabiao

    1下载:
  2. 系统基于EDA技术的智能数字电压表实现,以现场可编程门阵列(FPGA)为设计核心,集成于一片Xilinx公司的SpartanⅡE系列XC2S100E-6PQ208芯片上,在ISE环境下采用超高速硬件描述语言(VHDL)模块化编程,实现了电压的数据采集、转换、处理、显示等功能。本设计的特点在于能够测量的电压范围宽(0~50VDC),主要采用了分压原理,该系统具有集成度高、灵活性强、易于开发和维护等特点。-System based on EDA technology of intelligent d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-04
    • 文件大小:15360
    • 提供者:zhao
  1. ppt

    0下载:
  2. 1、可编程逻辑器件,即应用EDA技术完成电子系统设计的载体; 2、硬件描述语言(VHDL 或者 Verilog)。它用来描述系统的结构和功 能,是EDA的主要表达手段; 3、配套的软件工具。它用来完成电子系统的智能化设计; 4、实验开发系统。在整个EDA设计电子系统的过程中,实验开发系统是实现可编程器件下载和验证的工具, -A programmable logic device, the application of EDA technology to complete th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:13585900
    • 提供者:周爱丹
  1. Point

    0下载:
  2. VHDL语言实现在16*16点阵显示模块上显示汉字。(EDA/SOPC开发平台)显示内容未输入,形式为16位字符串(0、1)显示0时对应点阵上的灯亮起,1的时候熄灭-VHDL language to display Chinese font on a 16 x 16 dot matrix display module. (EDA/SOPC development platform) , the form of a 16-bit string (0,1) “0”stand for light on
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:415591
    • 提供者:李冰恒
  1. finaldesign_watch

    0下载:
  2. 基于VHDL的数字跑表源码,芯片采用ALTERA公司的ACEX1K 系列的EP1K10TC100-3,项目设计过程中,用EDA技术作开发手段,运用VHDL语言,实现从0.01秒到59分59秒59 的设计。-VHDL-based digital stopwatch source, ALTERA chip company ACEX1K series EP1K10TC100-3, the project design process, by means of EDA technology for th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:984874
    • 提供者:huyanting
  1. eda-example

    0下载:
  2. 初学者福音,有70个基于FPGA VHDL开发历程,可以通过这些文件下载到QuartusII中,做实验-Beginners, there are 70 VHDL based on FPGA development course, can download the files to QuartusII, doing experiments
  3. 所属分类:Other systems

    • 发布日期:2017-06-02
    • 文件大小:14235506
    • 提供者:曹晓岩
  1. dianzimimashuolunwen

    0下载:
  2. 为了使现在的电子密码锁更能智能化的管理,让人们更能方便的使用,让其具有更高的安全性和经济性,针对基于单片机的电子密码锁的不足之处,本文采用EDA技术,利用QuartusⅡ工作平台硬件描述语言,设计一种电子密码锁,并通过一片FPGA芯片实现。采用VHDL语言使用自顶向下的方法对系统进行了描述,并在FPGA芯片CycloneⅡ上实现。设计充分利用了FPGA的资源可编程特性,可高效率的对系统进行升级与改进。设计的密码锁可设置任意密码,比一般的四位密码锁具有更高的安全可靠性,因此,采用FPGA 开发的数
  3. 所属分类:Project Design

    • 发布日期:2017-05-03
    • 文件大小:542249
    • 提供者:许家硕
  1. text seven

    1下载:
  2. VGA彩条信号显示器设计 设计并调试好一个VGA彩条信号发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera CycloneII系列的 EP2C5T144C8 FPGA。(A VGA color bar signal generator is designed and debugged, and an EDA experimental development system is used (the model of the experimental chip to be use
  3. 所属分类:图形图象

    • 发布日期:2020-06-02
    • 文件大小:772096
    • 提供者:一一11.
« 1 2»
搜珍网 www.dssz.com