CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 串口

搜索资源列表

  1. uart

    0下载:
  2. 模拟串口程序,VHDL语言编写,带modem。-vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:20891
    • 提供者:xinghaili
  1. 08_uart

    0下载:
  2. 串口是现代嵌入式开发的常用通信方式,本例子通过vhdl实现串口通信 -Serial port is commonly used modern means of communication embedded development, the example of serial communication through the implementation vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:396585
    • 提供者:易阳威
  1. saa7113h

    0下载:
  2. 二个程序,一个是用VHDL语言对SAA7113的初始化,于串口将初始化内容读出,另一个是读取SAA7113寄存器内的值于串口发送出来-Two procedures, one for VHDL language SAA7113 initialization, the contents will be initialized in the serial read out, the other is the value of reading the SAA7113 register send out
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:980727
    • 提供者:Rodge
  1. full_duplex_connection_19200_16

    0下载:
  2. 全双工串口通信VHDL代码 已在quartus2上仿真验证 波特率19200 16倍频-Full-duplex serial communication already in quartus2 on VHDL code simulation validation baud rate 19200 16 octave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2421246
    • 提供者:刘苇
  1. MyUART

    0下载:
  2. 经过我严格测试,已经获得实际应用的RS232串口通讯的VHDL编写的程序,对于初学者绝对有帮助!-After I tested, has received the application of the RS232 serial communication program written in VHDL, for absolute beginners help!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1004495
    • 提供者:gglight
  1. RS232-RefComp

    0下载:
  2. 非常实用的关于串口的vhdl语言程序,与pc机通信-Very useful on serial vhdl language program, computer communication with the pc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:257207
    • 提供者:朝阳区
  1. SerivalPC1

    0下载:
  2. 用VHDL编写的单片机与串口的通信,通过调试,波特率为9600,在串口调试助手能看到相应的结果-Prepared with the VHDL serial communication between MCU and, through the commissioning, the baud rate is 9600, the serial debugging assistant can see the results of the corresponding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:603472
    • 提供者:lidejjj
  1. urat_1

    0下载:
  2. VHDL进行串口通信的一种实现方法,供参考-VHDL Implementation of Serial Communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2462
    • 提供者:raven
  1. UART

    0下载:
  2. VHDL编写的FPGA串口与电脑通信-Written in VHDL FPGA serial communication with the computer ..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:607457
    • 提供者:island
  1. uart_vhdl_verilog

    1下载:
  2. 串口FPGA的实现源码,VHDL和Verlog两种语言源代码。-UART FPGA implementation source code, VHDL and Verlog two languages source code .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:294012
    • 提供者:Field
  1. UART_DMA

    0下载:
  2. 实用串口与SDRAM控制接口VHDL语言程序代码-Utility serial port and SDRAM control interface VHDL language code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:1009281
    • 提供者:junlee
  1. UART

    0下载:
  2. 串口VHDL程序,Xilinxṩ 测试成功。-Serial VHDL program, Xilinxṩ test was successful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:11651
    • 提供者:weixin
  1. rs232

    0下载:
  2. VHDL 语言如何写串口的源代码,很详细的-VHDL for uart
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2479
    • 提供者:123456
  1. rs232-demo-for-send-welcome

    0下载:
  2. 这个一个用于fpga上面的串口调试程序,基于vhdl语言编写,可实现welcome字符的现实功能。-Fpga above this one for the serial debugger, based on vhdl language, the reality can be realized characters welcome feature.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3055
    • 提供者:tiger
  1. VHDL_CPLD(EPM240T100C5)

    0下载:
  2. 利用VHDL实现CPLD(EPM240T100C5)的串口接收程序-Implementation using VHDL CPLD (EPM240T100C5) serial port to receive program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:196416
    • 提供者:颜森道
  1. chuankoushoufa

    0下载:
  2. 串口收发数码管显示,含vhdl,顶层文件,工程文件,经过开发板测试-Serial transceiver digital display, with vhdl, top-level files, project files, through the development board test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:577880
    • 提供者:zhouhengjun
  1. RS232_transmitter

    0下载:
  2. 基于VHDL的串口发送源程序,Altera cycloneII系列-The source program of RS232 transitter based of VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:504389
    • 提供者:年龙
  1. Serial-communication-with-PC

    0下载:
  2. 基于FPGA的用VHDL语言编写的串口与电脑通信程序-FPGA-based serial port using VHDL language and computer communication program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:494718
    • 提供者:飞虎队
  1. Proj

    0下载:
  2. verilog/vhdl 串行口232通信程序-Spartan3E开发板调试通过-verilog/vhdl serial port communication program-Spartan3E 232 development board debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:779
    • 提供者:xxit
  1. topone

    0下载:
  2. 基于火龙刀开发板的FPGA和PC的UART串口通信的VHDL实现,支持LCD实现分页显示和LED 数码管显示。-FPGA and PC UART communication module implemented by VHDL, running on Dragon platform, with support of LCD and LED display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:19246
    • 提供者:bingo
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 »
搜珍网 www.dssz.com