CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 代码

搜索资源列表

  1. roots2

    0下载:
  2. vhdl代码 开方实现-VHDL code prescribing achieve
  3. 所属分类:教育/学校应用

    • 发布日期:2008-10-13
    • 文件大小:2245
    • 提供者:jay
  1. ddr_sdram_controller_vhdl

    0下载:
  2. ddr_sdram控制器的vhdl代码,里面的地址和数据长度可配置,能满足不同用户的需要.-ddr_sdram controller vhdl code, which addresses and the data length can be configured, meet the needs of different users.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13983
    • 提供者:hxwf801
  1. tcm_decode

    0下载:
  2. TCM解码,VHDL代码,是我在工作中做的工程代码,时序稳定,里面有syn以及软判决的算法,经典-TCM decoder, VHDL code, yes, I do work in the project code, timing stability, There are syn and soft-decision algorithm, classic!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:19718
    • 提供者:刘超
  1. jiafaqi

    0下载:
  2. 实现四位加法器的VHDL代码,里面含有全加器的代码-achieve four Adder VHDL code, which contains the full adder code
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:828
    • 提供者:丘志光
  1. VHDL_Memory_Library_Code

    0下载:
  2. 通用存储器VHDL代码库,The Free IP Project VHDL Free-FIFO, Quartus standard library. -generic VHDL code for memory, The Free Project VHDL IP Free-FIFO, Quartus standard library.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:23722
    • 提供者:Jawen
  1. structuredVHDL

    0下载:
  2. 如何编写structured VHDL代码.(英文)-how to prepare structured VHDL code. (English)
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:26353
    • 提供者:碧野
  1. USB11112

    0下载:
  2. USB的vhdl代码,具有很强的指导意义,对FPGA进行usb控制很有帮助!-USB vhdl code, which is of great guiding significance. the FPGA control usb helpful!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:140480
    • 提供者:温暖感
  1. multi8x8

    0下载:
  2. 节约资源型 8位*8位 运算VHDL代码,采用串行运算,8 个时钟周期完成一次运算。QUARTUS下已验证-resource conservation-8 * 8 Operational VHDL code, using serial computation. 8 clock cycles to complete an operation. QUARTUS has been under test
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2362
    • 提供者:曾庆立
  1. SPI-PRT

    0下载:
  2. 昨天在论坛上看到有人帖出了他写的并串转换VHDL代码,但是他自己说有问题,但是不知道怎么改。我大概看了一下,发现思路还是比较乱的。于是就写下了我自己的并串转换代码。-yesterday at the forum see someone points out his writing and string conversion VHDL code, But he said there are problems, but does not know how reform. I probably wat
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:1013
    • 提供者:ZHAOBOO
  1. FPGA_SONGER

    0下载:
  2. 基于FPGA的乐曲硬件演奏电路设计的实现,有完整的VHDL代码,并有PDF详细说明如何下载及跳线设置,并“梁祝”在GW48系列开发平台上下载调试成功。音乐优美-FPGA-based hardware music concert circuit design to achieve a complete VHDL code. and a detailed account of how the PDF download and set up the jumper, and "Butterfl
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:746869
    • 提供者:wyy
  1. FPGA_TENNIS

    0下载:
  2. 基于FPGA的乒乓球游戏硬件电路的设计与实现,有完整的VHDL代码,并有PDF详细说明如何下载及跳线设置,并在GW48系列开发平台上下载调试成功-FPGA-based table tennis game hardware circuit design and realization of a complete VHDL code. and a detailed account of how the PDF download and jumper settings and in a series
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:311317
    • 提供者:wyy
  1. CUS_SPI-VHDL

    0下载:
  2. 此为VHDL的SPI通信代码,全部在一个压缩包中,请仔细阅读后再使用.-this as VHDL code SPI communication, all in a compressed package, please read carefully before use.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3875
    • 提供者:藏瑞
  1. IP_SPI

    0下载:
  2. spi总线的vhdl代码,试了试可以用。希望能对开发者有所帮助。-spi bus vhdl code Shileshi can use. The hope is to help developers.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:343909
    • 提供者:李鸣
  1. uart-verilog-vhdl

    0下载:
  2. 拿verilog和vhdl编写的串口通信代码(可综合)-with vhdl and verilog prepared by the serial communication code (synthesis)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:295414
    • 提供者:刘索山
  1. renyizhengshufenpingdeVHDLdaima

    0下载:
  2. 本文件是实现任意整数分频的VHDL代码,愿与大家分享!-this document is arbitrary integer frequency VHDL code, and is willing to share with you!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1097
    • 提供者:少华
  1. 16b20b

    0下载:
  2. 16b20b编解码VHDL代码.-16b20b codecs VHDL code.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:114718
    • 提供者:davidluo
  1. VHDL_adc0809

    0下载:
  2. 驱动模数转换器ADC0809转换的VHDL代码-Driver Analog to Digital Conversion Connection between ADC 0809 VHDL code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:109251
    • 提供者:YI
  1. Ycrcb2rgb

    0下载:
  2. VHDL代码,在fpga上实现图像数据从ycbcr到rgb的转换-VHDL code in fpga on the image data from the ycbcr to rgb conversion
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:42158
    • 提供者:jihuijie
  1. XLIB

    0下载:
  2. 2D图像滤波VHDL代码。 2D图像滤波VHDL代码。-2D image filtering VHDL code. 2D image filtering VHDL code. 2D image filtering VHDL code.
  3. 所属分类:2D图形编程

    • 发布日期:2008-10-13
    • 文件大小:13893
    • 提供者:leisuee
  1. N_counter_VHDL

    0下载:
  2. 任意N进制分频器的标准VHDL代码(原创)-arbitrary N divider 229 standard VHDL code (original)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1021
    • 提供者:汤维
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »
搜珍网 www.dssz.com