CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 代码

搜索资源列表

  1. VHDL

    0下载:
  2. VHDL代码集锦 VHDL常用的22个子程序源码-VHDL Collection VHDL code of the 22 sub-procedures commonly used source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:26014
    • 提供者:远 额
  1. FIR

    0下载:
  2. FIR在FPGA中的VHDL代码实现教程-FIR in FPGA code in VHDL Tutorial
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:20180
    • 提供者:Mr Yang
  1. multiplier

    1下载:
  2. 乘法器在FPGA中的VHDL代码实现教程-Multipliers in the FPGA code in VHDL Tutorial
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:14890
    • 提供者:Mr Yang
  1. t1

    1下载:
  2. 实现电机M/T法测速的VHDL代码,只要修改cnt值大小即可修改M/T法切换的频率,当小于cnt时为T法,大于时为M法。-Motor M/T method velocimetry of the VHDL code, as long as the modified value of cnt to modify the size of M/T method of frequency switching, when cnt is less than for the T method, for M gr
  3. 所属分类:VHDL编程

    • 发布日期:2013-07-07
    • 文件大小:520
    • 提供者:tianson
  1. vhdl-arm-core

    0下载:
  2. 用vhdl语言实现arm内核,压缩包中有19个代码共同组成这个arm内核,程序比较大,应用时要注意那个代码是顶层实体。用quartus2软件即可打开仿真。-Vhdl language used arm core, compressed package code of 19 common core component of this arm, procedures, and application code should be noted that top-level entity. Used t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:41909
    • 提供者:杨帆
  1. CPUVHDL

    1下载:
  2. CPU+VHDL代码及详细注释\一个老外写的 200多行代码-CPU+ VHDL code and detailed notes \ a foreigner wrote more than 200 lines of code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:32333
    • 提供者:阿德陈
  1. usbip

    0下载:
  2. USB接口控制器参考设计,xilinx提供VHDL代码 -USB interface controller reference design, xilinx provide VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:198153
    • 提供者:李丽
  1. FPGArealiztionofdigitalsignalprocessing

    0下载:
  2. 数字信号处理FPGA实现 实用程序和文件,有sine.exe ---输入宽度。输出对应的正弦波表 mif文件 csd.exe --- 寻找整数和分数的标准有符号数字量(canonical signed digit ,CSD)表达式程序 fpinv.exe --- 倒数计算浮点数表的程序 dagen.exe ---分布式算法文件生成HDL" onclick="tagshow(event)" class="t_tag">VHD
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-06
    • 文件大小:260196
    • 提供者:kevin
  1. VB_decode

    1下载:
  2. Viterbi译码的VHDL代码,并且附有详细说明-Viterbi decoding of the VHDL code, and accompanied by a detailed descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:62784
    • 提供者:陈娟
  1. 9927416lcd1602

    0下载:
  2. 非常常见的液晶lcd1602控制程序,vhdl代码,可用于FPGA开发使用-Very common LCD lcd1602 control procedures, vhdl code, can be used to develop the use of FPGA
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:2957
    • 提供者:zhang
  1. chuankou

    1下载:
  2. FPGA和单片机的串口通信资料,本代码是用VHDL写的。-FPGA and the microcontroller serial communication of information, the code is written in VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1553005
    • 提供者:
  1. DS1302

    1下载:
  2. 本代码是控制DS1302的VHDL代码,浅显易懂,方便修改,注意看data sheet,保证时钟和各个延迟满足要求即可-This code is to control the DS1302' s VHDL code, easy to understand, easy changes, note the data sheet, ensure the clock and can meet the requirements of the various delays
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:2151
    • 提供者:kaishi
  1. audio_codec

    0下载:
  2. i2s协议时飞利浦公司专门为开发音频而开发的协议,这是它的VHDL代码,希望有帮助-i2s agreement, Philips developed specifically for the development of the audio protocol, which is its VHDL code, and want to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1742924
    • 提供者:王涛
  1. FIR

    0下载:
  2. FIR滤波器的VHDL源代码及测试文件,已通过编译仿真,绝对正确。-FIR filter VHDL source code and test files, has passed the compiled simulation, absolutely correct.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4339
    • 提供者:周州
  1. 2cout10

    0下载:
  2. 二位十进制计数器,详细的代码和仿真,并且有VHDL代码和原理图设计-2 decimal counter, the detailed code and simulation, and has VHDL code and schematic design of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:159477
    • 提供者:dingdus
  1. crc8

    1下载:
  2. 8bit CRC码生成器vhdl 代码,延时一个周期CRC码有效。-8bit crc code genergator,after delay one clock,crc code valid
  3. 所属分类:source in ebook

    • 发布日期:2017-03-28
    • 文件大小:770
    • 提供者:luoda
  1. rzn725SDH

    0下载:
  2. 一个关于SDH中TU-12解帧的VHDL代码-On the SDH in a solution of TU-12 frame VHDL code for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1698497
    • 提供者:liyuan
  1. ps22

    0下载:
  2. ps2键盘控制器的VHDL代码,个人感觉还是有一定的借鉴价值的,和大家分享一下吧。-ps2 keyboard controller VHDL code, personal feelings, or have a certain reference value, and share with you Bar.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:621243
    • 提供者:yangzehui
  1. design_and_analysis_of_the_Intelligence_Responder.

    0下载:
  2. 智力抢答器设计与vhdl代码实现 系统仿真/硬件验证 -design_and_analysis_of_the_Intelligence
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:508234
    • 提供者:钱阔
  1. ask

    0下载:
  2. 通信系统数字信号调制,振幅监控ask信号的调制和解调的VHDL代码-Communication systems digital signal modulation, amplitude control ask signal modulation and demodulation of the VHDL code for
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-02
    • 文件大小:806
    • 提供者:lihao
« 1 2 3 4 56 7 8 9 10 ... 50 »
搜珍网 www.dssz.com