CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 代码

搜索资源列表

  1. frqcounter

    0下载:
  2. 频率计vhdl代码,采用max plus -Frequency counter vhdl code using max plus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:81623
    • 提供者:wjk
  1. VHDL

    0下载:
  2. 本程序包换一个游戏程序和各种功能的计数器和加法器源程序及波形发生器的代码程序,适合初学者使用-This program replacement a game program and a variety of functions counters and adders and waveform generator source code procedures, suitable for beginners
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:2450
    • 提供者:xuliyue
  1. LED-VHDL

    1下载:
  2. 本程序为LED点阵显示的VHDL程序代码,代码注有解释,适合初学者使用-This program is LED dot matrix display VHDL code, the code marked with explanations for beginners
  3. 所属分类:assembly language

    • 发布日期:2015-07-07
    • 文件大小:13312
    • 提供者:xuliyue
  1. aes_pipe

    0下载:
  2. 流水线AES加密VHDL代码,代码规范,值得参考- The VHDL code of Pipelined AES encryption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:12602
    • 提供者:wughui
  1. VHDL-code-specification

    0下载:
  2. vhdl的代码规范。包括命名、语句使用等。注重可移植性以及硬件资源的节约。-vhdl code specifications. Including naming, such statements use. Attention to portability and hardware resource conservation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:746048
    • 提供者:Bai
  1. vhdl

    0下载:
  2. NUTAQ 公司的RF 420M 的FMC接口代码 -NUTAQ company' s RF 420M of FMC interface code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:35800
    • 提供者:kaka
  1. USB_BLASTER_code

    0下载:
  2. 用于制作ALTERA FPGA的下载线(USB_BLASTER)的CPLD逻辑代码(VHDL代码)。-USB BLASTER CODE DDFP SDFA SDE DSF DOD DOE DOE DOIII DEG SDAF, FSGR SE.
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:56597
    • 提供者:liyshen
  1. LCD1602_cpld_max_vhdl

    0下载:
  2. LCD1602 完整的MAX2 CPLD VHDL 代码,可以直接使用的-LCD1602 MAX2 CPLD VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:379521
    • 提供者:myeking
  1. CORDIC-Data

    0下载:
  2. CORDIC的matlab代码和VHDL代码,大牛分享的,自己试用过,非常好-realize CORDIC algorithm through Matlab and VHDL
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:126589
    • 提供者:john
  1. Three-input-Majority-Voter

    0下载:
  2. 三人表决器(三种不同的描述方式),VHDL代码-Three-input Majority Voter
  3. 所属分类:source in ebook

    • 发布日期:2017-04-10
    • 文件大小:882
    • 提供者:MOHAMAD
  1. ex3

    0下载:
  2. FPGA控制的电机驱动VHDL代码,可实现正转,反转,启动,停止。并可以实现PWM调速。代码中预留了控制接口,可方便完成上述功能的实现。- The code is for driver based on FPGA. It can realize the function of start, stop, speed adjust.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:400714
    • 提供者:zhuang
  1. alu

    0下载:
  2. 可以实现十六种算术运算和逻辑运算的VHDL代码哦,ISE上编译仿真可以运行-Can achieve sixteen kinds of arithmetic and logic operations of the VHDL code Oh, ISE compiled simulation can be run on
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:808
    • 提供者:林恩
  1. fsm

    0下载:
  2. 检测连续3个1的状态机的VHDL代码,输入11111则输出00111,ISE可以编译仿真,运行-Detecting consecutive three one state machine VHDL code, enter 11111 Output 00111, ISE can compile simulation run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:341501
    • 提供者:林恩
  1. fpga0

    0下载:
  2. 哈工大计算机设计与实验的其中一个实验,测试实验仪器用的VHDL代码-HIT computer design and experiment in which an experiment, test laboratory instruments used in VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:195974
    • 提供者:林恩
  1. lablab2

    0下载:
  2. 实现四位串入串出的移位寄存器,其实就是四个D触发器相连的VHDL代码,ISE可以运行-Achieve four string into the string out of the shift register, in fact, four D flip-flop connected to the VHDL code, ISE can run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:253234
    • 提供者:林恩
  1. vhdl

    0下载:
  2. 实现信号发生器的vhdl教学代码,提供了串口的功能和发生波形的功能,-Realization of the signal generator vhdl code for teaching
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:532971
    • 提供者:周一
  1. CPU_project

    0下载:
  2. CPU设计与实践实验源码,工程文件 ise。VHDL代码 可直接运行-cpu project
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-07
    • 文件大小:1483544
    • 提供者:Lorenzo
  1. blif2vhdl-v1.1

    0下载:
  2. 将BLIF(Berkeley Logic Interchange Format)格式的电路转换为VHDL代码,使用perl编写,需要perl环境才能使用。 内含BLIF格式的官方说明。-Translate BLIF(Berkeley Logic Interchange Format)circuit to VHDL descr iption, the translator need perl environment to run. Please check you have related t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:71525
    • 提供者:wangzil
  1. 1111-Sequence-Detection

    1下载:
  2. 1111序列检测的设计VHDL代码,用状态机实现111序列检测的设计,如果检测到正确的序列,则led灯亮起,否则熄灭-1111 Sequence Detection design VHDL code, using the state machine to achieve 111 Sequence Detection design, if it detects the correct sequence, led lights, otherwise extinguished
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:526
    • 提供者:syt
  1. chuankou

    0下载:
  2. 实现FPGA与PC的串口通信,工程文件完整,可直接运行,VHDL代码-FPGA implementation of serial communication with the PC, complete engineering documents, can be directly run, VHDL code
  3. 所属分类:Other systems

    • 发布日期:2017-05-12
    • 文件大小:2723497
    • 提供者:luojian
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com