CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 代码

搜索资源列表

  1. 原代码.rar

    0下载:
  2. 8051核的vhdl原代码。
  3. 所属分类:源码下载

    • 发布日期:
    • 文件大小:97578
    • 提供者:
  1. 曼彻斯特编解码VHDL代码.zip

    0下载:
  2. 所属分类:行业应用软件

    • 发布日期:
    • 文件大小:10292
    • 提供者:
  1. I2C总线控制器 altera提供-VHDL

    0下载:
  2. I2C总线控制器 altera提供的VHDL的源程序代码-I2C Bus Controller ALTERA the VHDL source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1639890
    • 提供者:陈旭
  1. VHDL实现简单的8位CPU2

    0下载:
  2. 用VHDL实现8位的单片机!里面 有开发过程和代码阿!很详细的哦-using VHDL eight of SCM! Inside the development process and code Ah! Detailed oh
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:53140
    • 提供者:冯海
  1. cpu16

    0下载:
  2. 一个16位cpu的vhdl代码。具体内容我也不清楚,自己慢慢研究吧-a 16 cpu of VHDL code. Specific content is not clear to me that their study it slowly
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3121
    • 提供者:王林
  1. 8051inVHDL

    0下载:
  2. 一个8051的VHDL代码,可完整编译, 但不保证版图映射成功,可作为设计微处理器的参考-a 8051 VHDL code can be compiled integrity, but it does not guarantee success territory mapping, the microprocessor can be used as a reference design
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:162907
    • 提供者:韩红
  1. VHDL_freerisc8

    0下载:
  2. 一个8位RiSC单片机的VHDL代码, 具有很好的参考价值。-an eight RiSC SCM VHDL code, is a good reference value.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:264697
    • 提供者:韩红
  1. 1_ADDER

    0下载:
  2. 这个是带输入的加法器vhdl代码,是带有输入端和进位的.-with imported Adder VHDL code, which is input into and spaces.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:23015
    • 提供者:李乐雅
  1. 89_full_adder

    0下载:
  2. 这个是带先行进位的加法器的vhdl代码,比较复杂,仅仅供大家参考.-into first place with the addition of VHDL code more complicated, just for reference.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:21150
    • 提供者:李乐雅
  1. [eda]vhdl

    2下载:
  2. 福州大学EDA选修课所有实验课程代码。VHDL语言描述(vhd),以及电路图(gdf)-Fuzhou University EDA optional courses in all experimental code. VHDL descr iption (vhd), and circuit (GdF)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:222534
    • 提供者:林锋杰
  1. freecore

    0下载:
  2. 一些vhdl源代码 一些vhdl代码-some VHDL source code for some VHDL source code some VHDL code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:50081
    • 提供者:hjj
  1. s2p

    0下载:
  2. 用vhdl代码写的并行转串行的程序,波形图正确,已经在板子上运行过,良好-using VHDL code written in parallel to serial procedures waveform correct, the board has been running that good
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:99367
    • 提供者:国宝
  1. VHDL_Examples_for_education

    0下载:
  2. VHDL代码编程,集合了众多优秀的实例,胜过任何一本书的例子,作为教学或程序开发中调用非常合适!-VHDL code programming, a combination of a large number of outstanding examples are better than any one book's examples, as a teaching program or call very appropriate!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:59968
    • 提供者:赵康
  1. fdpll

    1下载:
  2. 简单的可配置dpll的VHDL代码。 用于时钟恢复后的相位抖动的滤波有很好的效果, 而且可以参数化配置pll的级数。-simple configurable dpll VHDL code. Clock Recovery for the jitter filtering is a very good result, but can pll configuration parameters of the series.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2037
    • 提供者:陈德炜
  1. edaeda

    0下载:
  2. 完整的串行通信电路vhdl代码,已经通过quartus4.0编译-complete serial communication circuit VHDL code, the compiler has passed quartus4.0
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:1604
    • 提供者:鲁东旭
  1. ViterbiFPGA

    0下载:
  2. 论文格式,内含Viterbi编解码器的完整vhdl代码,文件为.nh格式-paper format that includes Viterbi Decoder complete VHDL code for the document. Nh format
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:3784809
    • 提供者:鲁东旭
  1. e047_pcmciatob

    0下载:
  2. 自制pcmcia并口vhdl代码,及制作所须其他资料.-homemade pcmcia parallel VHDL code and the production of other required information.
  3. 所属分类:并口编程

    • 发布日期:2008-10-13
    • 文件大小:3924841
    • 提供者:孙德黎
  1. 一篇用VHDL实现快速傅立叶变换的论文

    0下载:
  2. 一篇用VHDL实现快速傅立叶变换的论文,包括原理分析和代码实现,印度圣雄甘地大学M.A.学院提供-VHDL with a Fast Fourier Transform papers, including the principle of analysis and implementation of the code, the Mahatma Gandhi Institute of the University of Marat
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:63132
    • 提供者:咱航
  1. fulladd

    0下载:
  2. 用于实现两个数相加的vhdl代码,在相应的编译器中使用-used to achieve the two summed VHDL code, the corresponding use of compiler
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:18440
    • 提供者:计量
  1. 课设光立方代码

    1下载:
  2. 基于CPLD,用VHDL语言编程,实现了一个8*8*8的光立方控制(Based on CPLD and programming with VHDL language, an 8 * 8 * 8 optical cube control is realized)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-11-28
    • 文件大小:7168
    • 提供者:冲激响应t
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »
搜珍网 www.dssz.com