CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 加法器

搜索资源列表

  1. 100vhdl0621

    0下载:
  2. VHDL应用程序100例,适合初学者研究及练习 其中包含加法器译码器等多程序。-100 samples of VHDL, it is fit for beginner to study and practice. Adding machine, decoder and others are included.
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:239116
    • 提供者:zhuo
  1. 数字系统设计相关

    0下载:
  2. 这是有关VHDL的相关源代码,有简易CPU、加法器、除法器、计数器等-This is the relevance of the VHDL source code, a simple CPU, Adder, Divider, counters, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45195
    • 提供者:刘建
  1. maxshiyan

    0下载:
  2. 大学vhdl语言实验大全,基于max-plus2平台,内有8-3译码器,8位加法器,数字钟,数码显示,74ls138,8,4位计数器,d,rs触发器,加法器,交通灯等,此原码基于长江大学可编程器件实验箱,如要运行在其他平台上需要重新定义管脚-University VHDL language experiment Daquan, based on the max-plus2 platform within 8-3 decoder, 8 Adder, digital clock, digital d
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:865899
    • 提供者:田晶昌
  1. 1_ADDER

    0下载:
  2. 这个是带输入的加法器vhdl代码,是带有输入端和进位的.-with imported Adder VHDL code, which is input into and spaces.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:23015
    • 提供者:李乐雅
  1. 89_full_adder

    0下载:
  2. 这个是带先行进位的加法器的vhdl代码,比较复杂,仅仅供大家参考.-into first place with the addition of VHDL code more complicated, just for reference.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:21150
    • 提供者:李乐雅
  1. booth_mul

    2下载:
  2. 一种可以完成16位有符号/无符号二进制数乘法的乘法器。该乘法器采用了改进的Booth算法,简化了部分积的符号扩展,采用Wallace树和超前进位加法器来进一步提高电路的运算速度。本乘法器可以作为嵌入式CPU内核的乘法单元,整个设计用VHDL语言实现。-a 16 to be completed with symbols / unsigned multiplication of the number of binary multipliers. The multiplier used to impr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:19758
    • 提供者:李鹏
  1. VHDL

    0下载:
  2. 基本的VHDL程序代码,如加法器,乘法器,译码器,编码器等等,希望能给大家一些帮助,分享万岁!-Basic VHDL code, such as adders, multipliers, decoders, encoders, etc., I hope to give you some help, to share long live!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1295
    • 提供者:ddanteng
  1. VHDL

    0下载:
  2. 设计五位逐级进位和超前进位加法器 练习使用EDA工具设计逻辑电路的方法-5bit adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:136553
    • 提供者:张大人
  1. 100-vhdl-examples

    0下载:
  2. 资料中包含了100个VHDL语言开发范例,如:加法器、乘法器、比较器、二路选择器、寄存器、综合单元库、函数、七值逻辑线或分辨函数-The data contains 100 examples of VHDL language development, such as: adder, multiplier, comparator, double-selection, register, comprehensive cell library, function, seven-value logic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:641617
    • 提供者:东方不败
  1. VHDL

    0下载:
  2. 加法器、寄存器、半加器、译码器的硬件描述语言的描述-describe summator ,register,half adder,decoder with VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:2250
    • 提供者:mingxiuzhou
  1. vhdl

    0下载:
  2. vhdl cpu芯片逻辑设计的一部分实现 只有一小部分 大家可以看一下 寄存器 加法器之类的-vhdl cpu chip logic design part of its implementation only a little part everry look and see b=about registers adder and so on
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-15
    • 文件大小:52077
    • 提供者:刘志富
  1. add-based-on-vhdl

    0下载:
  2. 1位和4位加法器的VHDL硬件描述语言实现,可用quaturs实现。-add based on VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:3176
    • 提供者:刘睿
  1. VHDL

    0下载:
  2. 本程序包换一个游戏程序和各种功能的计数器和加法器源程序及波形发生器的代码程序,适合初学者使用-This program replacement a game program and a variety of functions counters and adders and waveform generator source code procedures, suitable for beginners
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:2450
    • 提供者:xuliyue
  1. vhdl

    0下载:
  2. 通过VHDL语言,实现简单的多路选择器、串行加法器、并行加法器、计数器-By VHDL language, a simple multiple-choice, serial adder, parallel adder, counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:11805
    • 提供者:zdy
  1. 2.1.5P4-Adder-VHDL-and-Waveform

    0下载:
  2. p4_adder 奔腾4cpu的加法器,包括carry selectadder carry generator -p4_adder Pentium 4cpu adder includes carry selectadder carry generator
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-25
    • 文件大小:69089
    • 提供者:young
  1. VHDL

    1下载:
  2. 设计一个具有进位输入和进位输出的8位行波进位加法器-8-bit ripple carry adder design having a carry input and a carry output
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-04
    • 文件大小:3098
    • 提供者:lee
  1. D4W2adder

    0下载:
  2. 数码管动态显示VHDL代码。。。。。。。。。。(Digital tube dynamic display VHDL code)
  3. 所属分类:网络编程

    • 发布日期:2018-01-01
    • 文件大小:3072
    • 提供者:zxc12
  1. adder8

    0下载:
  2. 基于vhdl的八位加法器,以两个四位加法器为基础(Eight bit adder of VHDL)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:1643520
    • 提供者:ydb
  1. Half-Adder

    0下载:
  2. xilinx ISE平台提供1位半加法器,模块随模拟提供(Half- adder 1- bit design implemented in ISE XIlinx Design Suite. Module in VHDL language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:21504
    • 提供者:DanCerv
  1. LAB

    1下载:
  2. SAM VHDL编码,包括数据选择器,加法器,简易逻辑电路,有限状态机等(FSM SAM ALU and many other different parts)
  3. 所属分类:其他

    • 发布日期:2020-11-18
    • 文件大小:6144
    • 提供者:TimeParaodgs
« 1 2 3 45 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com