CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 加法器

搜索资源列表

  1. 加法

    0下载:
  2. 测试向量波形产生:VHDL实例---加法器源程序 -test vector Waveform Generator : VHDL example -- Adder source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2420
    • 提供者:张洪
  1. ripple-lookahead-carryselect-adder

    0下载:
  2. Ripple Adder: 16-bit 全加,半加及ripple adder的设计及VHDL程序 Carry Look ahead Adder:4, 16, 32 bits 前置进位加法器的设计方案及VHDL程序 Carry Select Adder:16 Bits 进位选择加法器的设计方案及VHDL程序-Ripple Adder : 16-bit full adder, semi-Canada and the ripple adder design and VHDL procedur
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:15972
    • 提供者:李成
  1. ceshixiangliang

    0下载:
  2. vhdl 测试向量含测试向量(Test Bench)和波形产生:VHDL实例---相应加法器的测试向量(test bench).txt-VHDL test vector containing test vector (Test Bench) and Waveform Generator : VHDL examples --- corresponding Adder test vector (test bench). Txt
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:11173
    • 提供者:陈丽
  1. adder4_1

    0下载:
  2. 这是用vhdl编写的四位加法器,请多指教-this is the preparation of the four VHDL Adder, please enlighten
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8308
    • 提供者:jason
  1. FPGAprogram5

    2下载:
  2. 数控振荡器的频率控制字寄存器、相位控制字寄存器、累加器和加法器可以用VHDL语言描述,集成在一个模块中,提供VHDL源程序供大家学习和讨论。 -NC oscillator frequency control word register, phase control word register, and processing instruments used accumulator can be used VHDL descr iption, in an integrated modules
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3844
    • 提供者:许嘉
  1. jfq1

    0下载:
  2. vhdl和verling hdl 的加法器-VHDL and the Adder.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1743
    • 提供者:李清
  1. addch1

    0下载:
  2. 用vhdl语言设计CPU中的一部分:加法器的设计,包括多种加法器的设计方法!内容为英文-design using VHDL language part of the CPU : Adder design, Adder including multiple design! As for the English
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:392983
    • 提供者:qindao
  1. vhdldesign

    1下载:
  2. 浮点加法器的VHDL算法设计 浮点加法器的VHDL算法设计-floating point adder VHDL algorithm design of the floating point adder VHDL Design Algorithm
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:203178
    • 提供者:yan
  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. 200652519182195904

    0下载:
  2. 介绍了vhdl语言的知识,包括元件,加法器,计数器等的编程-introduced VHDL language knowledge, including components, Adder, counters and other programming
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:6555
    • 提供者:hcf2005
  1. jiafaqi

    0下载:
  2. 实现四位加法器的VHDL代码,里面含有全加器的代码-achieve four Adder VHDL code, which contains the full adder code
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:828
    • 提供者:丘志光
  1. VHDL_Development_Board_Sources

    0下载:
  2. 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4642650
    • 提供者:Jawen
  1. nbit_Adder

    0下载:
  2. VHDL——N位加法器设计-VHDL -- N-adder design RECOMMENDATIONS
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:5585
    • 提供者:钱伟康
  1. adder215

    0下载:
  2. 有关于加法器的vhdl编程,是用赛灵思的fpga实现的,可以在赛灵思网站上找到更具体的说明-Adder on the vhdl program is the use of the Xilinx fpga achieve. Xilinx website can be found on more specific details of their
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8621
    • 提供者:zoujinzhi
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. VHDLEXAMPLEppt

    1下载:
  2. 介绍8位加法器、分频电路、数字秒表的PPT,带源码,解释详细,一步一步学习,是学习VHDL的好-introduced eight Adder, the frequency divider circuit, digital stopwatch, the PPT, with the source code, explained in detail, step by step, learning, VHDL is a good learning Eastern
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:527607
    • 提供者:刘一
  1. ADDER8B

    0下载:
  2. 8位加法器VHDL 8位加法器VHDL-eight Adder VHDL e ight Adder VHDL eight Adder VHDL 8 Adder VHDL
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:45064
    • 提供者:秦顺金
  1. C_9

    0下载:
  2. 100个经典vhdl编程实例, 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器...... -100 vhdl classical programmi
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:337411
    • 提供者:袁虎
  1. sdgshjd

    0下载:
  2. 数字系统设计这是有关的相关源代码,有简易CPU 除法器、计数器等 ...[fpdiv_vhdl.rar] - 四位除法器的vhdl源程序 [vhdl范例.rar] - 最高优先级编码器8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使 BR> ... -Digital System Design This is the underlying source code, a simple C
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:838
    • 提供者:张瑞
  1. bijiaoqi

    0下载:
  2. 应用vhdl语言进行加法器的设计,比较器的设计,随着vhdl语言的应用越来越广泛,其重要性也更加明确。希望对大家有所帮助。-application vhdl language adder design, compared with the design, With vhdl language widely used, the importance of which was more explicit. We want to help.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2949
    • 提供者:李里
« 1 2 3 4 56 7 8 9 10 ... 13 »
搜珍网 www.dssz.com