CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 按键

搜索资源列表

  1. zidongshouhuoji

    0下载:
  2. 某自动售货机售A,B,C3种商品,他们的价格分别为1,3,4。 售票机进接受一元硬币。售货机面板上设有投币孔和退钱建,每种商品标识处有选择按键,上有指示灯表明当前投币说是否已经足够选买该商品。-A vending machine to sell A, B, C3 kinds of merchandise, their prices were 1,3,4. Into the ticket vending machines to accept one-dollar coins. Vending
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:1063
    • 提供者:孙尚龙
  1. key

    0下载:
  2. 密码锁控制器 设计一个密码锁,平时处于等待状态。管理员可以设置或更该密码。如果不预置密码, 密码缺省为“6666”。用户如果需要开锁,按相应的按键进入输入密码状态,输入4位 密码,按下确定键后,若密码正确,锁打开,若密码错误,将提示密码错误,要求重 新输入,三次输入都错误,将发出报警信号。报警后,只有管理员作相应的处理才能 停止报警。用户输入密码时,若输入错误,在按下确定键之前,可以通过按取消键重 新输入。正确开锁后,用户处理完毕后,按下确定键,系统回到等待状态。系统操作
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:1297
    • 提供者:Jane
  1. ps2

    0下载:
  2. 实现ps2键盘功能,可实现电脑26个按键的功能。-Achieve ps2 keyboard function, a computer keyboard functions
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1970
    • 提供者:赵朴
  1. jtd

    0下载:
  2. 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的1KHz 时钟,黄灯闪烁时钟要求为2Hz,七段码管的时间显示为1Hz 脉冲,即每1s 中递减一次,在显示时间小于3 秒的时候,通车方向的黄灯以2Hz的频率闪烁。系统中用S1 按键进行复位。-To complete the tasks in this experiment is to design a simple traffic light controller, t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:41984
    • 提供者:卢陶
  1. S3_SW

    0下载:
  2. 这个程序是用来测试拨码开关与按键开关的, 当按下按键开关时,相应的led会点亮, 同理打开拨码开关相应的led也会点亮-This procedure is used to test switch DIP switch and button, when pressing the button switch, the corresponding led will light up, open the same token the corresponding DIP switch led wi
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:188386
    • 提供者:刘飞
  1. liushuideng

    0下载:
  2. 流水灯程序,1.在CH-3实验平台上通过LED0~LED7八位LED发光二极管实现流水灯显示,流水效果为LED灯依次亮起,第二个灯亮时第一个熄灭2.用按键切换产生2种流水效果-Process water lights, 1. CH-3 in the experimental platform LED0 ~ LED7 through eight light-emitting diode LED lights show the achievement of water, running water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1768941
    • 提供者:赵剑平
  1. deCPLDVHDLshijong

    0下载:
  2. 基于CPLD的VHDL语言数字钟(含秒表)设计 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。 本设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。 -CPLD based on the VHDL language di
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:95274
    • 提供者:wuhuisong
  1. Exp3-Music

    0下载:
  2. 本次实验,是在 SOPC开发平台上实现一个音频信号发生器,将音箱接到开发平台上的 音频接口,由六个按键控制音箱发出 do、re、mi、fa、so、la 等音调,同时,编写几段音乐, 通过键盘选择,利用开发平台来播放几段音乐。 -The experiment is SOPC development platform to achieve an audio signal generator, the speaker received a development platform for th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4491582
    • 提供者:yangcheng
  1. fequency

    0下载:
  2. 基于CPLD的等精度数度频率计,可以通过外设功能按键实现,频率、相位、占空比等参数的测量。-CPLD based on the number of degrees of accuracy, such as frequency meter, key peripheral functions can be achieved, frequency, phase, duty cycle measurement of parameters such as
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:380967
    • 提供者:dzt
  1. VGA_MAO1_C5T

    0下载:
  2. 带中文字库的字符型液晶驱动演示 在“字符型液晶口”插上带中文字库的字符型液晶,按复位键K1后,再按键“K5”,则液晶显示中文 -Chinese font with character-driven LCD presentation in the " Character LCD I" planted with Chinese font character LCD, press reset key K1, and then button " K5" , wh
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:71415
    • 提供者:邢旭
  1. 1

    0下载:
  2. VGA01目录下有TTOP.BIN,下载后有如下效果: 插上显示屏: 屏幕显示两层图片,下层是静态的两个字,我的名字。 上层是一个128X64的256色图片, 插上键盘: 按键盘大键盘区的1-9,板子上的7段会有显示数字,按<-删除键删除一个数字。 visualC目录下是一个小程序,将BMP转换成rom.mif ROM存储器初始化文件。-VGA01 directory under TTOP.BIN, download the following ef
  3. 所属分类:SCM

    • 发布日期:2017-05-08
    • 文件大小:1695635
    • 提供者:jinbang
  1. test

    0下载:
  2. 将拨码开关全部拨到on,将会看到数码管从 0-9 A-F逐个显示;按下8个按键中的任何一个,对应的LED灯会亮,按第一个按键时,蜂鸣器会响。-All appropriated for the DIP switch on, will see the digital tube display one by one from the 0-9 AF press 8 keys in any one, the corresponding LED lantern light, according to th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:141722
    • 提供者:panda
  1. test

    0下载:
  2. 将拨码开关全部拨到on,将会看到数码管从0-9 A-F逐个显示;按下8个按键中的任何一个,对应的LED灯会亮,按第一个按键时,蜂鸣器会响。-All appropriated for the DIP switch on, will see the digital tube display one by one from the 0-9 AF press 8 keys in any one, the corresponding LED lantern light, according to the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:132669
    • 提供者:panda
  1. example5

    0下载:
  2. 用 8 个按键对应 8 个数字显示,初始值为 0。按 key1 到 key8 可以显示 1到8 的数值。-With 8 keys corresponding to eight figures show that the initial value of 0. By key1 to key8 can display 1 to 8 values.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:28624
    • 提供者:panda
  1. S7_PS2_RS232

    0下载:
  2. 利用cpld作为cpu控制器将ps2中取得按键值通过串口传送给pc机-cpld verilog ps2 UART
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:1438790
    • 提供者:wphyl
  1. zdshj

    0下载:
  2. 自动售货机控制系统设计 要求: 设计制作一个自动售货机控制系统。 该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行选择。 系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出。 系统自动的计算出应找钱币余额、库存数量并显示。 -Vending machine control system desig
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:67212
    • 提供者:dws
  1. DZZ1

    0下载:
  2. 多功能数字钟 能进行正常的时、分、秒计时功能, 分别由6个数码管显示24小时、60分钟、60秒钟的计数器显示。   2. 能利用实验系统上的按键实现“校时”“校分”功能: 3. 能利用扬声器做整点报时-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:1024085
    • 提供者:chenshilin
  1. PWM-OUT

    1下载:
  2. 这里是一个比较好的用Verilog写的通过按键控制PWM输出从而控制小灯亮灭程度的经典例子~!~-Here is a better written in Verilog by using buttons to control the PWM output level of the control of small lights eliminate the classic example of ~! ~
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-18
    • 文件大小:311267
    • 提供者:ll
  1. s3esk_startup

    0下载:
  2. 利用kcpsm3控制lcd显示 平台:ise 10.1, picoblaze, Spartan3e 开发板 说明:综合按键和lcd、led的功能,思想简单,需要新技术,适合想在fpga方面深造的人。-using kcpsm3 for lcd display platform: ise 10.1, picoblaze, Spartan-3E FPGA Starter Kit Board comment: involve lcd/led/switch, simple mind bu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1106582
    • 提供者:kn
  1. keyboardcontroller

    0下载:
  2. 键盘控制器VHDL代码 该控制器实时扫描矩阵键盘的行列,当用户有按键按下时,可以定位到对应的按键并产生一个中断信号-Keyboard controller entity -- -- The controller scans the columns, cols, by making a different column logic-0 -- therefor the inputs have to be pull-up high. It processes the input,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:7184
    • 提供者:郑佛少
« 1 2 34 5 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com