CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 按键

搜索资源列表

  1. LCD_CLOCK

    1下载:
  2. 用1602液晶显示的数字电子钟,并且可以用按键开关调整时间,日期,星期。-1602 LCD display with digital electronic clock, and the key switch can be used to adjust the time, date, week.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3165109
    • 提供者:周航
  1. ex10-2

    0下载:
  2. 红外遥控 根据不同的按键不同的二极管发光,包含仿真图-Infrared remote control key according to the different light-emitting diodes of different, including the simulation of Figure
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:25540
    • 提供者:自然
  1. VHDL-Responder-Course-Design

    0下载:
  2. 开始键按下后,8个进度指示灯依次点亮,之后开始抢答。4个按键开关代表4个抢答键,由数码管显示最先按下的开关序号,表示此号码抢答成功。若在进度灯全亮之前有任意键被按下,则表示有人犯规!系统结构描述:此系统共包括4个板块,分别是输入板块、计数器板块、数码显示器板块、判断板块,各功能组合一起构成一个完整的抢答器。-Start key is pressed, 8 progress lights were lit, and then answer in the beginning. 4 key switc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:374029
    • 提供者:竹下寺宁
  1. key_music

    0下载:
  2. 简易硬件电子琴 在开发板上实现一个简易电子琴,按下KEY1~KEY7 分别表示中音的DO、 RE、MI、FA、SOL、LA、SI 按住KEY8 再按KEY1~KEY7 分别表示高音的 DO、RE、MI、FA、SOL、LA、SI。通过这个实验,掌握利用蜂鸣器和按键 设计硬件电子琴的方法。-Simple hardware keyboard In the development of board achieve a simple keyboard, press KEY1 ~ KEY
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:10734
    • 提供者:罗文
  1. VHDL

    0下载:
  2. 设计一个准确地反映按键数字具有8位显示的电话按键显示器,该电话显示器要求具有重拨的功能,当按下重拨键时,能够显示最后一次输入的电话号码。-Accurately reflect the key to design a digital telephone with eight buttons the display shows the phone display requires a redial function, when you press the redial button, it will
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:47188
    • 提供者:zz
  1. vhdl-tablegame

    0下载:
  2. 基于vhdl的乒乓球比赛设计:用发光二极管作为球,按键为发球和击球,21分为一局。有5个发光二极管,第三个当做网,过了网才可击球,否则对方加一分,直至有一方达到21分比赛结束。-Vhdl-based design of table tennis: the light-emitting diode as the ball, the ball and hitting the key for 21 points For one council. 5 light-emitting diode, t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1719
    • 提供者:lit
  1. keyboard

    0下载:
  2. 一个VHDL按键测试程序,对初学者非常有帮助。-VHDL key test program, very helpful for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:386515
    • 提供者:hsj
  1. VHDL-Keyboard

    0下载:
  2. 设计制作一个检测4*4矩阵键盘的按键编码的实验,把实际按键的键值的八位编码先转换成从0000—1111的编码,再译成数码管能识别的八位编码,在数码管动态显示时,4*4矩阵键盘的第一行对应00—03,第二行对应04—07,第三行08—11,第四行对应12—15。-Design a 4* 4 matrix keyboard key coding experiments to detect the key the actual key octet coded first convert from 00
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-26
    • 文件大小:15746
    • 提供者:zj
  1. VHDL-key

    0下载:
  2. VHDL语言程序,具有按键消抖哦,程序比较简单,易明白,欢迎大家下载哦-VHDL language program, with key debounce, the procedure is relatively simple, easy to understand, are welcome to download Oh! ! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:382352
    • 提供者:陈建华
  1. VHDL-key1

    0下载:
  2. 利用VHDL程序按键消抖程序,实用性强,易明白,测试成功啦!-VHDL program button debounce procedures, practical, easy to understand, the test is successful!
  3. 所属分类:Other systems

    • 发布日期:2017-03-24
    • 文件大小:266109
    • 提供者:陈建华
  1. UART_RS232(VHDL)

    0下载:
  2. 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控制器,10个bit是1位起始位,8个数据位,1个结束位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实现相应的波特率。程序当前设定的div_par 的值是0x145,对应的波特率是9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间划分为8个时隙以使通信同步.程序的工作过程是:串口处于全双工工作状
  3. 所属分类:assembly language

    • 发布日期:2017-11-14
    • 文件大小:607493
    • 提供者:饕餮小宇
  1. vhdl

    0下载:
  2. 用任意出现的一个亮点表示老鼠,用4 个排成一条线的发光点表示“墙”,用四个按键控制蛇的运动方向,完成贪食蛇游戏,蛇撞“墙”、边或者游戏时间到,则游戏结束。-very good
  3. 所属分类:software engineering

    • 发布日期:2017-11-14
    • 文件大小:1505
    • 提供者:樊涛
  1. simple-microwave-by-VHDL

    1下载:
  2. 用VHDL实现一个建议微波炉设计 1. 微波炉的火力有大、中、小三档可选。用一个按键实现火力的选择,用点阵显示火力档位,点阵的显示随着按键的按下次数而变化,没有选择时默认的火力为大; 2. 微波加热时间在0-59分59秒之间可选。用4个按键分别设置加热时间各位的长度,用数码管显示加热时间; 3. 设置一个开始键,按下此键后开始加热。加热过程中,用数码管倒计时显示剩余时间; 4. 加热过程中,不能修改火力和加热时间; 5. 加热完成后蜂鸣器至少鸣响两声以提醒使用者加热已结
  3. 所属分类:ELanguage

    • 发布日期:2016-01-02
    • 文件大小:743424
    • 提供者:zeroxinshou
  1. key

    0下载:
  2. VHDL按键消抖程序,文中提到了两种方法。-VHDL key the debounced program, mentioned two methods.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:29142
    • 提供者:韩帅
  1. VHDL-flutterfree-counter

    0下载:
  2. 本实验主要完成的实验是完成4×4键盘扫描的,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。-This experiment is to complete 44 experiments done keyboard scan, and then get their keys, and encode to carry identification keys, and the corresponding key value to be displayed.
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:10387
    • 提供者:陈芳
  1. ex2_key

    0下载:
  2. VHDL-按键消抖实验程序,采用EMP240处理器,希望对大家有用-VHDL-key debounce experimental procedures used EMP240 processor, we hope to be useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:314861
    • 提供者:李希光
  1. shiyan3

    0下载:
  2. eda设计 防抖vhdl按键 设计 实验3 数码管显示-eda vhdl design stabilization Experiment 3 button design digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:461580
    • 提供者:理论去
  1. VHDL-Multi-fuction-Clock

    0下载:
  2. 设计一个多功能数字钟,要求显示格式为小时-分钟-秒钟,整点报时,报时时间为10 秒,即从整点前10 秒钟开始进行报时提示,喇叭开始发声,直到过整点时,在整点前5 秒LED 开始闪烁,过整点后,停止闪烁。系统时钟选择时钟模块的10KHz,要得到1Hz 时钟信号,必须对系统时钟进行10,000次分频。调整时间的的按键用按键模块的S1 和S2,S1 调节小时,每按下一次,小时增加一个小时,S2 调整分钟,每按下一次,分钟增加一分钟。另外用S8 按键作为系统时钟复位,复位后全部显示00-00-00。-T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7658196
    • 提供者:冯雨娴
  1. VHDL

    0下载:
  2. 数字时钟,实现24小时数码管显示,可以实现按键校时-Digital clock, 24 hours to achieve digital display, you can achieve the key school
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:1831877
    • 提供者:zcx
  1. ditie

    0下载:
  2. 3.通过按键7输入购票张数,并用 1 位数码管显示 4.通过按键 6、 5 输入乘坐站数, 并用 2 位数码管显示; 5.用按键 4、 3、 2 表示投入 10 元、 5 元和 1 元三种钱币,并用 3 位数码管显示; 8.系统能够复位。(Design and manufacture a simple subway ticketing system, the specific requirements are as follows:)
  3. 所属分类:系统设计方案

    • 发布日期:2017-12-19
    • 文件大小:4247552
    • 提供者:一世长安
« 1 2 3 4 56 7 8 9 10 ... 13 »
搜珍网 www.dssz.com