CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 电子琴

搜索资源列表

  1. eo

    0下载:
  2. 用vhdl语言利用fpga来实现电子琴。这个是源程序-With VHDL language use fpga to realize electronic organ. This is the source program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:18020
    • 提供者:
  1. dianziqin

    0下载:
  2. 基于Quartus II+VHDL开发的一个电子琴,具有乐谱显示,高低音指示功能。完整工程包,已经验证成功-Quartus II+ VHDL based on the development of a music keyboard, with music show, high bass instruction function. Complete engineering bag, proven successful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:335656
    • 提供者:
  1. 15-piano

    0下载:
  2. 这是一个成功的电子琴VHDL源代码,已在DH-33001开发板上调试成功。-This is a successful organ VHDL source code, in the DH-33001 development board debugging.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:77728
    • 提供者:hjs
  1. dianziqin-music-bofangEDA

    0下载:
  2. EDA编程 电子琴乐曲播放 EDA程序VHDL语言-Keyboard music playing eda program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:749
    • 提供者:liang
  1. dianziqin

    0下载:
  2. EDA技术与VHDL语言课程设计,简易电子琴-EDA technology and VHDL language curriculum design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:16579
    • 提供者:刘彬
  1. 32

    0下载:
  2. 电子琴程序设计与仿真,vhdl编写,实用!-Keyboard programming and simulation, vhdl to write and practical!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:49227
    • 提供者:qiming
  1. gin1.0

    0下载:
  2. 数字电路与逻辑设计实验。VHDL语言开发电子琴。包括数码管和点阵显示、可以自动播放。-Digital circuit and logic design experiments. VHDL development keyboard. Including digital tube and dot matrix display, can automatically play.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:397023
    • 提供者:亓冀
  1. ps2

    0下载:
  2. vhdl简易电子琴ps2键盘控制有自动播放功能-vhdl simple flower
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:514109
    • 提供者:胡杨
  1. dianziqin

    0下载:
  2. 这是一个电子琴设计VHDL语言,供大家参考使用,在Qutars II软件上仿真实现-This is a flower design VHDL language for reference use in Qutars II software simulation to achieve
  3. 所属分类:File Formats

    • 发布日期:2017-12-05
    • 文件大小:4744
    • 提供者:方苏玲
  1. asas

    0下载:
  2. 系统应用FPGA技术,通过VHDL编程,在CPLD上实现。电子琴的基本原理是产生各个音符对应的频率,将频率放大后驱动喇叭发出音响。该电子琴包括手动弹奏与自动演奏两种功能,其中手动弹奏时还可录音回放。文中叙述了电子琴的设计原理和分块实现的方法,详细介绍各模块的设计及模块之间的连接组合方法,还包括电子琴 -According to incomplete statistics, these companies represent about 40 of the domestic fiber la
  3. 所属分类:Embeded Linux

    • 发布日期:2017-11-10
    • 文件大小:5665
    • 提供者:黎明
  1. dianziqin

    0下载:
  2. 模拟电子琴的VHDL实现,有源码,仿真及分析过程。-Simulation flower of VHDL, with source code, simulation and analysis process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:49807
    • 提供者:presentlee
  1. dianziqin

    0下载:
  2. 硬件电子琴,可以实现自动播方歌曲,以及手动播放2中模式,VHDL语言编写-Hardware Keyboard
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:694305
    • 提供者:df
  1. DUO

    0下载:
  2. VHDL程序,关于电子琴的自动播放,非常有用-VHDL program automatically play on the keyboard is very useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2861456
    • 提供者:songrq
  1. Piano

    0下载:
  2. 用VHDL写的,实现触摸屏电子琴的功能,可录音、放音,适用于赛灵思的板子。-Written using VHDL realize the function of touch-screen keyboard, recordable, playback for Xilinx board.
  3. 所属分类:Other systems

    • 发布日期:2017-05-07
    • 文件大小:1062174
    • 提供者:Ding
  1. music1

    0下载:
  2. 基于PS2的电子琴设计的VHDL语言代码-Based on the PS2 Keyboard Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1090388
    • 提供者:
  1. a

    0下载:
  2. 简易电子琴演奏器的VHDL实现 本实验实现了简易的电子琴演奏,包括自动和手动演奏。 输入为BTN0~BTN6,代表1~7共7个音符。音高可切换低中高音,用两个拨码开关控制:“00”为低音,“10”或“01”为中音,“11”为高音。一个拨码开关切换收动/自动。一个开关控制存储(播放存储)/不存储。一个按键clr复位。 输出为8*8点阵、两个数码管(显示音高和字符)、蜂鸣器。 具体功能: 当切换至手动模式时,根据手动按键播放音乐并显示。此时若存储开关置1,当前播放音符被存储,采样
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5189133
    • 提供者:carmack
  1. piano_fina1

    0下载:
  2. 基于VHDL的简易电子琴游戏,可实现发声,点阵显示,倒数计时,计分等功能-VHDL simple electronic organ based games, can realize the voice, dot matrix display, countdown, scoring function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1035743
    • 提供者:zhangxiangrui
  1. keyboard

    0下载:
  2. 使用VHDL语言编写的可编程电子琴,可以实现演奏模式和回放模式-Using VHDL language programmable keyboard, can play mode and playback mode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:180034
    • 提供者:summer
  1. Electronic-piano

    0下载:
  2. 利用VHDL设计电子琴,能够进行7个音符的发声-Design with VHDL Keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1031425
    • 提供者:lhc
  1. eda-Electronic-organ

    0下载:
  2. 本设计的是简易电子琴的实现。采用EDA作为开发工具,VHDL语言为硬件描述语言,quartus II作为程序运行平台,所开发的程序通过调试运行、波形仿真验证,实现了设计目标。本程序使用的硬件描述语言VHDL,可以大大降低了硬件数字系统设计的入门级别。     利用数控分频器设计一个电子琴硬件电路,通过键盘输入使扬声器发出不同频率的声音。-This design is the realization of simple electronic piano. Using EDA a
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-09
    • 文件大小:1782229
    • 提供者:蔡颖
« 1 2 3 4 5»
搜珍网 www.dssz.com