CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 电机

搜索资源列表

  1. VHDL写的控制步进电机24byj48的小程序

    0下载:
  2. VHDL写的控制步进电机24byj48的小程序.验证可用.不过还有待改进
  3. 所属分类:VHDL编程

    • 发布日期:2012-11-14
    • 文件大小:963
    • 提供者:李唐
  1. 直流电机控制器

    0下载:
  2. 直流电机控制器,属于精品vhdl源码,可在eda仿真工具上仿真实现-DC motor controller is excellent VHDL source code can be sown in simulation tools Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2699
    • 提供者:阎磊
  1. 步进电机控制器

    0下载:
  2. 采用VHDL编写的步进电机控制程序-stepping motor controlling program written by VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1500
    • 提供者:陈旭
  1. 步进电机定位控制系统VHDL程序与仿真

    0下载:
  2. 步进电机定位控制系统VHDL程序与仿真
  3. 所属分类:源码下载

    • 发布日期:2011-12-20
    • 文件大小:31232
    • 提供者:eagerfly
  1. MiniStep.rar

    1下载:
  2. XC95144步进电机驱动器源码,采用verilog vhdl开发,个人原创,XC95144 stepper motor drive source, using verilog vhdl development, personal originality
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-17
    • 文件大小:4851377
    • 提供者:王明新
  1. vhdl_model.rar

    0下载:
  2. VHDL实例,各个方面均有,基本语法,状态机,汉明码,寄存器,步进电机控制器,表决器,多路选择器,译码器等等,VHDL model,include: basic grammer,moore mealy state machine,register,counter,multi,decoder,et..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:50510
    • 提供者:Rainer
  1. EP2C20_TEST.rar

    1下载:
  2. 内含无刷电机驱动VHDL模块,读码盘4倍频模块,并用NIOS核实现简单无刷电机闭环控制。,Brushless motor driver includes VHDL modules, reading frequency module plate 4, and nuclear NIOS simple closed-loop control of brushless motor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-29
    • 文件大小:15531593
    • 提供者:王少鸷
  1. abs_code.rar

    1下载:
  2. 这是用CPLD开发的读取绝对式编码器反馈的信号的代码,读取电机的转子的绝对位置和判断转动方向对于电机控制很实用。,This is read by the CPLD Development absolute encoder feedback signal to the code, read the motor' s rotor position and to determine the absolute direction of rotation is very useful for mot
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1602187
    • 提供者:dengzhaoyun
  1. fpga很有价值的27实例

    0下载:
  2. fpga很有价值的27实例,包括步进电机定位控制系统VHDL程序与仿真、ASK调制与解调VHDL程序及仿真、TLC7524接口电路程序等非常实用,WDERYY GERVGASrtgwbg rgavtv gvrfggrv gevwecgh evefrt5y3tfvgfgdg
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-28
    • 文件大小:700414
    • 提供者:nbonwenli
  1. count_plus_last

    0下载:
  2. 对电机的编码器输入的正交编码信号进行4倍频处理 ,生成一个新的计数脉冲 ,同时判断电机的转动方向,输出一个方向标志电平信号,从而可以让DSP知道电机的转速和方向。-On the motor encoder inputs of the quadrature encoder signals 4 octave treatment, generates a new pulse count and at the same time to determine the direction of motor r
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:1384
    • 提供者:dengzhaoyun
  1. motor

    2下载:
  2. ALTEA EPM7128 CPLD的用于控制三维步进电机的VHDL源代码-ALTEA EPM7128 CPLD is used to control the stepper motor of three-dimensional VHDL source code
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-10-17
    • 文件大小:2608
    • 提供者:lauking
  1. bj

    0下载:
  2. 四位单相的步进电机程序,经过编译和仿真.-Four single-phase step motor program, after compiling and simulation.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:167324
    • 提供者:何情
  1. 2

    0下载:
  2. 基于vhdl语言的电机设计,内含详细的设计过程和具体的实验现象。-Based on the VHDL language in electrical design, including the detailed design process and the specific experimental phenomena.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:395279
    • 提供者:原来
  1. bujindianji

    0下载:
  2. vhdl代码!步进电机定位控制系统VHDL程序与仿真!初学者可以参考参考-VHDL code! Stepper motor positioning control system and simulation of VHDL procedures! Beginners can refer to reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4905
    • 提供者:daxiadian2
  1. VDHL

    0下载:
  2. Verilog的135个经典设计实例,直流电机控制,游戏机,三态总线,加法器,锁存器等-Verilog s 135 classic design example, DC motor control, video game consoles, three-state bus, adder, latches, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:113548
    • 提供者:何柳
  1. 11111

    0下载:
  2. 功能要求: (1) start为”1”时,步进电机转动。 start为”0”时,步进电机停转。 (2) 预设step_in为常数,当clk为上升沿,load和start为”1’加载时,电机开始转动,转动step_in次后,停止转动。当下次符合此要求时将再次转动。 (3) 通过load,,step_in同步或异步载入steps值。 -Functional requirements: (1) start to 1 , the stepper motor rotation. s
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:58574
    • 提供者:yuyang
  1. dp_test

    0下载:
  2. 本程序是用VHDL语言编写的,其中包括并口通讯,DDS电机调速,编码器信号处理等,对研究这方面的工程人员有一定参考作用-This procedure is used VHDL language, including the parallel port communication, DDS motor, encoder signal processing and so on, to look at this area of engineering staff have a certain refe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:602791
    • 提供者:maolianghu
  1. lai_PWM

    2下载:
  2. FPGA下PWM的Verilog 源码,含目标程序,可直接下载使用,可用在电机控制中-FPGA in Verilog source code under the PWM, including the target program, can be directly downloaded to use, can be used in motor control in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:867445
    • 提供者:huangyongbing
  1. 简单状态机控制步进电机_QII视频讲解

    0下载:
  2. 简单状态机控制步进电机_QII视频讲解 详细介绍用VHDL控制步进电机(Simple state control stepper motor, _QII video, explain in detail, with VHDL stepper motor control)
  3. 所属分类:其他

    • 发布日期:2017-12-21
    • 文件大小:3382272
    • 提供者:一战神一
  1. 直流电机控制

    0下载:
  2. 实现直流电机的控制,增速和减速,用到了定时器(Realize DC motor control, speed up deceleration)
  3. 所属分类:其他

    • 发布日期:2018-01-07
    • 文件大小:1024
    • 提供者:艺术韩
« 1 23 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com