CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 电梯 程序

搜索资源列表

  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. step

    0下载:
  2. 一个在单片机平台的电梯模拟程序,基本实现了电梯的所有基本功能,可供学单片机的同学参考。本程序使用VHDL语言编写。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:43441
    • 提供者:梁政
  1. lift_VHDLDocument

    0下载:
  2. 用VHDL描述的电梯运行程序,代码注释很详细,希望对大家有帮助
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:162789
    • 提供者:ninghuiming
  1. dianti

    0下载:
  2. 三层电梯vhdl程序 实现上下请求 显示 排序等功能-Vhdl program three elevators up and down the request shows that the functions of sorting
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1105
    • 提供者:李永刚
  1. chengxu

    0下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1278330
    • 提供者:chenjy
  1. vhdl2

    0下载:
  2. 电梯控制器程序设计与仿真的vhdl源代码-Elevator controller design and simulation of vhdl source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:162463
    • 提供者:胡爱军
  1. lift.vhd

    0下载:
  2. 用VHDL实现了电梯的模拟程序,实现了自动判断楼层,然后根据客户需求和楼层最近原则,实现自动判断上下行,还有报警,强制开门等功能-Achieved using VHDL elevator simulation program, to determine the realization of an automatic floor, and then based on the principle of demand and the floor recently, automatically dete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1356
    • 提供者:董灏
  1. VHDLbasicExampleDEVELOPEMENTsoursE

    1下载:
  2. 这里收录的是《VHDL基础及经典实例开发》一书中12个大型实例的源程序。为方便读者使用,介绍如下: Chapter3:schematic和vhdl文件夹,分别是数字钟设计的原理图文件和VHDL程序; Chapter4:multiplier文件夹,串并乘法器设计程序(提示:先编译程序包); Chapter5:sci文件夹,串行通信接口设计程序; Chapter6:watchdog文件夹,看门狗设计程序; Chapter7:taxi文件夹,出租车计价器设计程序; Chapte
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:138782
    • 提供者:wuyu
  1. lift

    0下载:
  2. 用vhdl编写的一个简单的电梯程序,可以实现电梯功能-Vhdl prepared with a simple lift procedure, can achieve lift function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:21331
    • 提供者:zhijiyu
  1. FPGA

    0下载:
  2. 主要介绍VHDL下,电子时钟、LCD、LED、电子琴,电梯等开发程序。-Introduces the VHDL, the electronic clock, LCD, LED, keyboard, elevator and other development programs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13878565
    • 提供者:huizeng
  1. DianTiKongZhiQi-VHDL

    0下载:
  2. 电梯控制器VHDL程序,包含记忆,上升,下降,停站等功能,以及超载,故障后报警功能.rar-Elevator controller VHDL program, including memory, up, down, stop and other functions, and overloading, failure alarm. Rar
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1915
    • 提供者:
  1. diantikongzhixiqi2

    0下载:
  2. 基于FPGA的电梯控制器。其中一共分为3部分,1、显示电路的VHDL程序。2、9层电梯控制器主体的程序。3、顶层模块设计程序-The elevator control system based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:17979
    • 提供者:shanelai
  1. dianti1

    0下载:
  2. 该程序是一个简单的电梯控制程序,运用VHDL语言编程,能实现电梯所要的功能并在DE2板上演示-The program is a simple elevator control procedures, the use of VHDL language programming, to achieve the desired function of the elevator and in the DE2 board demo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1009055
    • 提供者:zlj
  1. Elevator-controller-VHDL

    0下载:
  2. 电梯控制器程序设计与仿真,走过路过,千万不要错过!-Elevator controller programming and simulation, passing through, do not miss!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:162475
    • 提供者:
  1. dtc

    0下载:
  2. 用DE2 开发板 来模拟仿真现实中的电梯控制 此程序中的电梯数目位8层-With the DE2 board to reality simulation of the elevator control this process the number of bits in the elevator 8 layer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:654772
    • 提供者:王朋
  1. dianti

    0下载:
  2. 一个vhdl电梯控制器程序。1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响应,每个请求信号保留至执行后消除。 6、 电梯运行
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2498
    • 提供者:梁翼飞
  1. fourlift

    0下载:
  2. vhdl环境下状态机实现的四层模拟电梯程序-environment under four simulated lift procedures vhdl state machine implemented
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-24
    • 文件大小:383373
    • 提供者:sx
  1. elevator-controller-VHDL

    0下载:
  2. 电梯控制器程序设计与仿真,本文为DOC文档,附有源码和仿真波形-The elevator controller programming and simulation, this paper for the DOC document, attached to the source code and simulation waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:167262
    • 提供者:
  1. 1

    1下载:
  2. VHDL代码,一些课本的小程序。包含3线-8线译码器,4选1选择器,6层电梯,8线-3线编码器,8线-3线优先编码器,8选1,BCD-7段显示译码器真值表,半加器,摩尔状态机,数字频率计,数字时钟,序列检测器的设计,一般状态机等等。(VHDL code, some textbooks for small programs. Includes 3 -8 decoder, 4 1 selector, 6 elevator, line 8 Line 8 line -3 encoder, -3 prio
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:453632
    • 提供者:zidting
  1. 2

    0下载:
  2. VHDL代码,一些课本的小程序。包含3线-8线译码器,4选1选择器,6层电梯,8线-3线编码器,8线-3线优先编码器,8选1,BCD-7段显示译码器真值表,半加器,摩尔状态机,数字时钟,序列检测器的设计,一般状态机等等。(VHDL code, some textbooks for small programs. It includes 3 line -8 line decoder, 4 selector 1 selector, 6 elevator, 8 line -3 encoder, 8 l
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:454656
    • 提供者:zidting
« 1 23 »
搜珍网 www.dssz.com