CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 频率计

搜索资源列表

  1. dengjingdu.rar

    0下载:
  2. 根据第三届(1997年)全国大学生电子设计竞赛题目:简易数字频率计,完全用FPGA芯片做的一个等精度数字频率计。,According to the third (1997) National Undergraduate Electronic Design Contest Topic: simple digital frequency meter, complete with a FPGA chip, such as doing precision digital frequency meter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:3051618
    • 提供者:song
  1. 97B

    0下载:
  2. 这是电子设计大赛的97年b题简易数字频率计的fpga一种做法。-This is Electronic Design Competition 1997 b problem simple digital frequency meter fpga practice.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:481822
    • 提供者:dzn
  1. pinglvji

    0下载:
  2. 做的等精度频率计,采用等精度测量原理,即利用双计数器“相关计数”和“硬件同步分频”实现高低频率的等精度的测量。用FPGA实现频率测量、周期测量、时间间隔测量、相位测量及脉冲宽度的测量。所有的测量功能都由VHDL语言编程实现。-I do other precision frequency meter, use and other precision measuring principle, namely the use of dual-counter " related counts&qu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:51136
    • 提供者:yangqiuyue
  1. FPGA--cepin

    0下载:
  2. 几个关于用vhdl 语言,编写测频,频率计程序的论文,希望对初学者有用!-Vhdl on the use of several languages, write frequency measurement, frequency meter program of papers, I hope useful for beginners!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4346135
    • 提供者:
  1. freq

    0下载:
  2. 数字频率计,七位计数,显示六位,带test模块-Digital frequency meter, seven counts, showed that six, with test module
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:8403185
    • 提供者:潘斌
  1. shiyan6

    0下载:
  2. 一个8位的十进制频率计数器,功能经过测试.-An 8-bit decimal frequency counter, function tested.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:1305
    • 提供者:何情
  1. chengxu

    0下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1278330
    • 提供者:chenjy
  1. frenquenter

    0下载:
  2. 等精度频率计设计与文档,有源码,doc格式-Precision frequency meter, etc. The design and documentation, has source code, doc format
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:4726
    • 提供者:ltlt
  1. pinlvji

    0下载:
  2. 频率计VHDL编程。设计一个4位数字显示的十进制频率计,其测量范围为1MHz,测量值通过4个数码管显示以8421BCD码形式输出,可通过开关实现量程控制,量程分10kHz、100kHz、1MHz三档(最大读数分别为9.999kHz、99.99kHz、999.9kHz); 当输入信号的频率大于相应量程时,有溢出显示。 -Cymometer VHDL programming. Design of a 4-digit decimal display frequency, the measure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:90168
    • 提供者:zhangyi
  1. shuzipinluji

    0下载:
  2. 数字频率计的设计可以分为测量计数和显示。其测量的基本原理是计算一定时间内待测信号的脉冲个数,这就要求由分频器产生标准闸门时间信号,计数器记录脉冲个数,由控制器对闸门信号进行选择,并对计数器使能断进行同步控制。控制器根据闸门信号确定最佳量程。-The design of digital frequency meter can be divided into measurement and display count. The basic principle of its measurement i
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:54008
    • 提供者:黄花
  1. VHDL

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:220627
    • 提供者:张林锋
  1. EDA

    1下载:
  2. 以前学EDA的时候做过的四个小程序,分别是24/12小时制数字钟、数字频率计、乐曲播放电路、多人智力竞赛抢答器-EDA previously done when the four small procedures are 24/12 hour digital clock, digital frequency meter, circuit music players and many more devices quiz Answer
  3. 所属分类:Other systems

    • 发布日期:2017-03-25
    • 文件大小:461667
    • 提供者:王宇
  1. plj6

    1下载:
  2. 基于vhdl 的数字频率计的设计源程序及工程文件,已在实验箱上实现-vhdl,pinlvji
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:379459
    • 提供者:浅漓
  1. FPGA

    1下载:
  2. 基于FPGA的数字频率计的设计11利用VHDL 硬件描述语言设计,并在EDA(电子设计自动化) 工具的帮助下,用大规模可编程逻辑器件(FPGA/ CPLD) 实现数字频率计的设计原理及相关程序-FPGA-based design of digital frequency meter 11, the use of VHDL hardware descr iption language design, and EDA (electronic design automation) tools with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:665994
    • 提供者:董晨晨
  1. fequency

    0下载:
  2. 一款可用于数字频率计设计的IP核,使用该IP核科研构建基于SOPC技术的片上数字频率计,测频范围较宽。-A digital frequency meter using IP core
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:203840
    • 提供者:yzhuai
  1. etester_zcx1002

    0下载:
  2. 这是一个等精度频率计的VHDL源程序,里面有QuartusII的完整工程文件。-This is a precision frequency meter, such as the VHDL source code, which has a complete project file QuartusII.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:203370
    • 提供者:郑雄
  1. E_8051_FTEST_K4X4_new

    0下载:
  2. 是带51单片机核的等精度频率计的FPGA设计的部分。用VHDL编的,也有VERILOG的。-51 is a single chip with precision, such as the nucleus of the frequency of some of FPGA design. VHDL for use as well as the VERILOG.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-19
    • 文件大小:5455121
    • 提供者:李逵
  1. pinglvjiFPGA

    0下载:
  2. 基于等精度原理的频率计verlog代码,被测频率在1HZ到10MHZ误差百分比相同。-Based on the principle of the frequency of such precision code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1183676
    • 提供者:shengxi
  1. szplj

    0下载:
  2. 数字频率计,可以实现0.1至100000倍数之间的测量。-Digital frequency meter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:3021
    • 提供者:cxp
  1. LCDfcout

    0下载:
  2. FPGA实现LCD显示的频率计,芯片为cyclone-FPGA realization of the frequency meter LCD display chip for the cycloneII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2251525
    • 提供者:wang wen tao
« 1 2 34 5 6 7 8 9 10 ... 14 »
搜珍网 www.dssz.com