CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL FFT

搜索资源列表

  1. FFT_report

    0下载:
  2. Design Simulation and synthesis of a fft processor using VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:363089
    • 提供者:lys
  1. coreFFT_AR_3_0

    0下载:
  2. FFT算法VHDL生成器(Actel公司提供)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1407195
    • 提供者:zhan
  1. fftvhdl

    0下载:
  2. 用VHDL语言实现的FFT源代码,好使的程序,大家试试。QUARTUS II软件开发
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:29414
    • 提供者:王真
  1. synth_fft

    0下载:
  2. 可综合FFT的VHDL实现 已经过测试
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:527968
    • 提供者:叶茂
  1. 1024FFT

    0下载:
  2. 1024点FFT快速傅立叶变换 VHDL语言实现
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:436474
    • 提供者:libukun
  1. fpgafft

    3下载:
  2. 用fpga实现dsp 的fft算法 其中有几个文档文件和用vhdl写的1024点的fft代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:533621
    • 提供者:李志枫
  1. fft_test

    0下载:
  2. 用vhdl编写的FFT的代码,很全,很强大.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:912716
    • 提供者:fangyingjie
  1. fft_32k

    0下载:
  2. FFT 32k use VHDL MATLAB
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:985833
    • 提供者:match
  1. fft1024ref_v1_0

    0下载:
  2. FFT VHDL code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:581436
    • 提供者:davidluo
  1. 16位浮点fft fpga的vhdl源码

    0下载:
  2. 所属分类:源码下载

  1. 16bit_FFT.rar

    0下载:
  2. 16点FFT的VHDL源代码,含详细设计文档。,16:00 FFT of the VHDL source code, including detailed design documents.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:699919
    • 提供者:xbl
  1. mp3decoder.rar

    1下载:
  2. mp3 解码的verilog代码,通过仿真综合及验证,能够播放所有的.mp3文件。压缩包包括所有的verilog源码以及详细的文档。,mp3 decoding Verilog code, the adoption of an integrated simulation and verification, can all play. mp3 file. Compressed packet including all the Verilog source code and detailed docu
  3. 所属分类:mpeg mp3

    • 发布日期:2014-12-28
    • 文件大小:170109
    • 提供者:刘名
  1. 256fft

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:210123
    • 提供者:Nagendran
  1. FFTNios-II

    0下载:
  2. VHDL NIOS-II FFT 频谱分析仪-this is a anloay by FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:699018
    • 提供者:彭倜
  1. fft16ref0

    0下载:
  2. vhdl语言按fft接口标准把数字图像信号转换成标准VGA格式。适合做学习试验 -implementation_fft
  3. 所属分类:Graph program

    • 发布日期:2017-04-06
    • 文件大小:657234
    • 提供者:mmmggg
  1. 2

    0下载:
  2. FPGA设计中几个基本问题的分析及解决 多时钟系统,时钟设计,时钟歪斜,门控时钟,毛刺信号及其消除,FPGA中的延时设计,FPGA设计应注意的其它问题-FPGA design analysis of a few basic questions and solve multi-clock system, clock design, clock skew, clock gating, and the elimination of burr signal, FPGA design of the d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:47735
    • 提供者:江凯
  1. hpiir

    0下载:
  2. FPGA文件程序,irr型低通滤波器,vhd程序 -FPGA program file, irr-type low-pass filter, vhd procedures
  3. 所属分类:DSP program

    • 发布日期:2017-04-10
    • 文件大小:1236890
    • 提供者:袖手人
  1. VHDL_butterfly

    0下载:
  2. vhdl编写的蝶形算法程序,供大家参考~~~可用于fft的实现-vhdl butterfly algorithm written procedures for your reference ~ ~ ~ can be used for the realization of fft
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:3457
    • 提供者:culun
  1. lunwen

    0下载:
  2. 潘明海 刘英哲 于维双 (论文) 中文摘要: 本文讨论了一种可在FPGA上实现的FFT结构。该结构采用基于流水线结构和快速并行乘法器的蝶形处理器。乘法器采用改进的Booth算法,简化了部分积符号扩展,使用Wallace树结构和4-2压缩器对部分积归约。以8点复点FFT为实例设计相应的控制电路。使用VHDL语言完成设计,并综合到FPGA中。从综合的结果看该结构可在XC4025E-2上以52MHz的时钟高速运行。在此基础上易于扩展为大点数FFT运算结构。 -Pan Mingha
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:128401
    • 提供者:culun
  1. IFFT_ise10migration

    0下载:
  2. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-03-28
    • 文件大小:523199
    • 提供者:
« 1 2 ... 8 9 10 11 12 1314 15 16 »
搜珍网 www.dssz.com