CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL LCD

搜索资源列表

  1. LCD1602-display-nian

    0下载:
  2. 这个程序可以显示汉字年 使用的液晶模块是1602 编程语言是VHDL-This program can display the Chinese characters used in LCD module is 1602 programming language is VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1327
    • 提供者:朱振军
  1. S3DSP_PS2_LCD_TEST

    0下载:
  2. FPGA LCD test code in VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:824101
    • 提供者:priya
  1. lcdafpga

    0下载:
  2. LCD控制FPGA的VHDL程序与仿真-FPGA to drive the LCD display Chinese characters "" program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4519
    • 提供者:高帅娜
  1. NEW_LCD_DRIVER

    0下载:
  2. VHDL code for HD44780 16x2 character LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1978
    • 提供者:Eka
  1. DE2_lcd_clk

    0下载:
  2. 用VHDL写的在DE2开发板上的LCD实现的秒表程序-DE2 development board LCD stopwatch program written in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:507543
    • 提供者:zhaochengru
  1. VGA_Module

    0下载:
  2. VGA LCD显示汉字,vhdl语言,vga可移植模块-VGA LCD display Chinese characters, the VHDL language, vga portable module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1868
    • 提供者:黄鹏
  1. LCD12864english

    0下载:
  2. VHDL控制液晶显示模块,显示英文字符,用LCD12864显示英文-VHDL control the LCD module, display the English characters display English LCD12864
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:48148
    • 提供者:温杰
  1. Rwummayiie

    1下载:
  2. 研究了传统误码仪的工作原理与结构,并运用VHDL语言在FPGA芯片上模拟实现了绝大部分的传统误码仪的功能,,如LCD显示出来驱动driver,串口通信驱动driver,误码测试,数据存储芯片驱动driver等功能. -Study the working principle and structure of the traditional BERT, and the use of VHDL language to simulate most of the traditional BERT fu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:4050844
    • 提供者:快捷的
  1. light

    0下载:
  2. VHDL实现交通灯,内含分频模块,转换模块,LCD显示模块,板子上通过验证-VHDL traffic light contains frequency module, conversion module, LCD display module, the board verified
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:699665
    • 提供者:ct
  1. VHDL_exp

    0下载:
  2. VHDL程序包括IIC和PS2,LCD,USB-VHDL program includes IIC and PS2, the LCD, USB, etc.
  3. 所属分类:source in ebook

    • 发布日期:2017-05-23
    • 文件大小:7214290
    • 提供者:雷涛
  1. lcdtest2

    0下载:
  2. 很不错的VHDL;是啊啊;我觉得这个文件关于LCD的显示有所帮助;-Very good VHDL yes ah ah I think this help file on the LCD display
  3. 所属分类:MiddleWare

    • 发布日期:2017-05-16
    • 文件大小:4534777
    • 提供者:魏莆翀
  1. lq057q3dc02_sharp.tar

    0下载:
  2. 用VHDL语言实现的夏普LQ057Q3DC02 LCD 控制器,经验证可以使用-Driver for Sharp LQ057Q3DC02 320x240 QVGA LCD. Driver accurate to datasheet specifications. Will also work for LQ057Q3DC12 (Pb-free version).
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2326472
    • 提供者:
  1. DS6__LCD_VHDDe

    0下载:
  2. 使用vhdl语言开发的16x2液晶LCD显示出来模块的驱动drivver程序源码。在quartus中编译完成,可直接运行 可直接使用。 -16x2 LCD display out using vhdl language development the module the drive drivver program source. Compiled in quartus can be run directly can be used directly.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:437724
    • 提供者:wangjiantin
  1. LCD_VGA

    0下载:
  2. VHDL实现VGA同时实现LCD显示相关数据,实现平台XC3S500E-VHDL Implementation VGA LCD display data platform XC3S500E
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:550525
    • 提供者:王磊
  1. 1

    0下载:
  2. LCD控制VHDL程序与仿真2011.8修改,实现方便-LCD control procedures and VHDL simulation 2004.8 modify and easy to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:5036
    • 提供者:qiming
  1. lcd_clock

    0下载:
  2. 用VHDL编程实现在LCD上显示北京时间 可以自动调节时间 同时具有整点报时功能-Beijing can be adjusted automatically one time, a whole hour with VHDL programming on the LCD display
  3. 所属分类:Communication

    • 发布日期:2017-04-10
    • 文件大小:1083724
    • 提供者:Individual
  1. Cipher-lock.doc

    0下载:
  2. VHDL实现四位电子密码锁,并在12864液晶显示屏上显示-VHDL implementation of the four electronic locks, and 12864 on the LCD screen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:6337
    • 提供者:刘永
  1. lcd5110

    0下载:
  2. Nokia 5110 液晶的显示控制,SPI接口实践,基于vhdl开发-Nokia 5110 LCD Display Control, SPI interface practices, developed based on vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:3031
    • 提供者:凌应龙
  1. Dlcdd_controoe

    0下载:
  2. 用vhdl开发的lcd控制制器,已经应用到了实际的生产中 -Vhdl the lcd control system development, has been applied to the actual production
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-04
    • 文件大小:1369
    • 提供者:molingrang
  1. VHDL_tip

    0下载:
  2. VHDL of many examples, including the LED, lcd, keypad, digital control and so on, very practical. -VHDL of many examples, including the LED, lcd, keypad, digital control and so on, very practical.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:857392
    • 提供者:yang
« 1 2 ... 15 16 17 18 19 2021 22 23 »
搜珍网 www.dssz.com