CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL detector

搜索资源列表

  1. xuliejiance

    0下载:
  2. 《序列检测器》绝对好用的EDA实验程序,已经通过测试!VHDL语言编写-"Sequence Detector" absolutely good for EDA experimental procedure, he has passed the test! VHDL language
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1205
    • 提供者:潘晓峰
  1. EDA

    0下载:
  2. EDA实验序列信号检测器和模可变计数器,工程文件和VHDL文件-EDA test sequence signal detector and variable-counter model, project files and VHDL files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:914647
    • 提供者:邓泽林
  1. Sequencedetector

    0下载:
  2. 用VHDL语言实现的序列检测器 (以1010111为例)-Sequence detector (for example 1010111)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:772
    • 提供者:赵珑
  1. VHDL_design_of_sequence_detector

    0下载:
  2. VHDL中序列检测器的设计的实验报告,包括源代码-VHDL in the design of sequence detector test reports, including the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4920
    • 提供者:CXJ
  1. sequence_detector

    0下载:
  2. 用VHDL语言实现一个序列检测器,检测到规定的序列时输出一高电平-VHDL language used to implement a sequence detector, to detect the sequence provided a high level when the output of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:54193
    • 提供者:qiang200021
  1. ram

    0下载:
  2. vhdl program for random access memory and sequence detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1029
    • 提供者:swap
  1. Seqcheck

    0下载:
  2. 用VHDL编写的序列检测器,是完整工程。-Written by VHDL sequence detector is a complete project.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:90842
    • 提供者:
  1. Program

    0下载:
  2. 用VHDL状态机设计一个8位序列信号检测器。-Design a state machine in VHDL 8-bit serial signal detector.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:551
    • 提供者:釉雪Dreamer
  1. VHDL_design

    0下载:
  2. 以VHDL设计一有限状态机构成的序列检测器。序列检测器是用来检测一组或多组序列信号的电路,要求当检测器连续收到一组串行码(如1110010)后,输出为1,否则输出为0。-With VHDL Design into a finite state machine sequence detector. Sequence detector is used to detect the signal sequence of one or more groups of circuits, require th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:47375
    • 提供者:陈倩
  1. State_Machine

    0下载:
  2. 状态机的VHDL实现,在quartus-ii7.2上测试通过,文件包括米利状态机,摩尔状态机,ADC0809的状态机实现,序列检测器和定时去毛刺的状态机实现。-State machine code in VHDL,successfully tested in quartus-ii7.2,the file contains mealy state machine,moore state machine,ADC 0809 and sequence detector achieved in state
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1551028
    • 提供者:baoguocheng
  1. 2moreqamcodes

    0下载:
  2. the code is about ML detector of mimo technology ,,,,we need of vhdl code for all detectors
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:4865
    • 提供者:bhagyalaxmi
  1. s101

    1下载:
  2. 用VHDL语言,设计一个“101”序列检测器,双过程描述编写-VHDL language, to design a dual procedure describes the preparation of "101" sequence detector.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:172991
    • 提供者:henry
  1. EDA1

    0下载:
  2. 用VHDL编程实现序列信号发生器与检测器设计和数字钟设计-VHDL programming sequence signal generator and detector design and the design of the digital clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:260493
    • 提供者:高华
  1. p_dect--5

    0下载:
  2. 奇偶检测器 vhdl实现 quartus编译通过-Parity detector the vhdl realize quartus compiled by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:392817
    • 提供者:蒲瑞瑞
  1. check

    0下载:
  2. 这是一个检测器,功能是可以检测输入信号里面“1111”序列的vhdl程序。-This is a detector, the function is the sequence of " 1111" of the input signal which can be detected vhdl procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:3405
    • 提供者:仝侨
  1. aa

    0下载:
  2. 这个程序就是序列检测器的vhdl实现,真麻烦啊-This program is the sequence detector vhdl achieve real trouble
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:713
    • 提供者:zhangzhen
  1. EDAexp4

    0下载:
  2. FPGA环境下,用VHDL语言实现序列脉冲器和检测器。-FPGA environment, the use of the VHDL sequence of pulses and detector.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-08
    • 文件大小:15252
    • 提供者:吴霏羽
  1. serial1

    0下载:
  2. 基于VHDL语言实现的序列检测器,包含按键防抖动功能的实现。-Sequence detector based on the VHDL language, containing the button shake function to achieve.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:1503
    • 提供者:momo
  1. even_detector_file_based_stimuli

    0下载:
  2. even detector based stmiulde and vhdl code
  3. 所属分类:Algorithm

    • 发布日期:2017-12-05
    • 文件大小:2133
    • 提供者:aasad
  1. 11

    0下载:
  2. VHDL序列检测器,使用了EDA课程里面用到的状态机.-VHDL sequence detector, the use of EDA curriculum used inside the state machine.
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:686
    • 提供者:蒋峰
« 1 2 34 »
搜珍网 www.dssz.com