CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog流水灯

搜索资源列表

  1. liushuideng

    0下载:
  2. 基于verilog语言,cpld实现的流水灯的程序代码-Based on verilog language, cpld achieve light water code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:205322
    • 提供者:Zhouyang
  1. led_water

    0下载:
  2. 这是用verilog编写的流水灯程序,自己写的,个人感觉要比网上的简单多了。新手不会看不懂。-It is written in verilog water lights, write your own personal feeling is much simpler than the Internet. Beginners do not read.
  3. 所属分类:MPI

    • 发布日期:2017-05-13
    • 文件大小:3124730
    • 提供者:薄迪
  1. flash-led

    0下载:
  2. 利用verilog语言实现fpga硬件下一个简单的流水灯试验,三只灯实现流水操作,一只实现闪烁操作,非常好的入门参考经典实例-Language verilog fpga hardware utilization under a simple light water experiment, three lights to achieve pipelined to achieve a flashing operation, a very good introductory reference to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:121279
    • 提供者:havi
  1. lsd_1

    0下载:
  2. 流水灯,即跑马灯,利用verilog语言进行控制,和大家相互学习学习-Flowing water light, namely entertaining diversions, use verilog language control, and we learn from each other to learn
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-04
    • 文件大小:390456
    • 提供者:高云飞
  1. 03_led_water

    0下载:
  2. 用Verilog HDL语言编写流水灯八种颜色依次显示-Use Verilog HDL language running water light eight kinds of color display in turn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:341042
    • 提供者:张文瑞
  1. Light-water

    0下载:
  2. 流水灯,非常好用,在quarts上完美运行,verilog语言编程好好地-Light water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:282770
    • 提供者:pudn
  1. LED

    0下载:
  2. basys2 流水灯 verilog语言编写-basys2 light water verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:803050
    • 提供者:刘铁峰
  1. picoblaze

    0下载:
  2. 基于Nexys3的picoblaze,实现了一个命令菜单,可以控制流水灯,VGA显示,交通灯。verilog,VHDL都有。-Based picoblaze Nexys3 achieve a command menu, you can control the water lights, VGA display, traffic lights. verilog, VHDL has.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:6044036
    • 提供者:jiangjiaguo
  1. run

    0下载:
  2. verilog HDL PARTAN 3E100的流水灯程序-verilog HDL PARTAN 3E100 water light program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:429421
    • 提供者:hanbojiang
  1. run_flash_led

    0下载:
  2. 用verilog建立一个并行操作的流水灯模块。扫描频配置定为100 Hz,而每一个功能模块在特定的时间内,将输出拉高。-The establishment of a parallel operation of light water module verilog. Scanning frequency configured as 100 Hz, and each functional module within the specified time, the output high.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3221110
    • 提供者:xutao
  1. liushuideng

    0下载:
  2. 用verilog语言编写程序使流水灯依次闪烁,并包含textbench文件-Verilog language used to write programs in order to make water lights flashing, and the file contains textbench
  3. 所属分类:software engineering

    • 发布日期:2017-04-11
    • 文件大小:599
    • 提供者:张亚江
  1. run_led

    0下载:
  2. Xilinx FPGA, ISE工程文件,Verilog语言实现流水灯,设计了分频器,可精确到点亮时间为一秒,可控制流水灯左右移位方向-Xilinx FPGA, ISE project file, Verilog language water lights, designed divider, accurate to one second light time, you can control the direction of light water left shift
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:303945
    • 提供者:zy
  1. key_led

    0下载:
  2. 基于xilinxFPGA测试通过,按键消抖动,verilog编写,控制流水灯-Based xilinxFPGA test, the key jitter elimination, verilog prepared to control water lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:953
    • 提供者:段彦亮
  1. LEDD

    0下载:
  2. 此例为LED流水灯设计,采用verilog编程,可实现流水灯左右移,增加了分频模块,充分利用实验板LED灯资源、开关资源、按键资源。-This example is water LED lights design, using verilog programming, can be moved around water lights, increasing the frequency module, make full use of experimental board LED light re
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-25
    • 文件大小:276779
    • 提供者:梅兰竹菊
  1. Lab5.5_Led_FPGA

    0下载:
  2. 使用verilog在fpga开发板实现流水灯,包括整个工程文件-This code is used for early learners to study verilog。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:10253
    • 提供者:xiaofengyu
  1. liushuideng

    0下载:
  2. verilog做的流水灯,分频器做半秒的tc,流水灯每半秒流动一次 -verilog do water lights, dividers do half a second tc, light water flow once every half-second
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:271626
    • 提供者:grace
  1. water-LED

    0下载:
  2. 用VERILOG语言实现的LED流水灯实验,通过移位方法实现,代码简单实用。-VERILOG language with an LED light water experiment, achieved by shifting method, the code is simple and practical.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:357318
    • 提供者:zyb
  1. EEPROM

    0下载:
  2. verilog编写的EEPROM读写操作程序 有流水灯显示-EEPROM write verilog written operating procedures have water lights display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:304745
    • 提供者:maowentao
  1. LEDwater

    0下载:
  2. fpga verilog入门经典系列完整版,下载即用:流水灯-fpga verilog ledwater
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:60117
    • 提供者:wdlpt
  1. water_led

    0下载:
  2. led流水灯,使用Verilog编程,使用模块化思想-water_led,use verilog language and the thought of module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:400180
    • 提供者:george ma
« 1 2 3 4 56 7 »
搜珍网 www.dssz.com