CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog

搜索资源列表

  1. 1

    1下载:
  2. SPI的VERILOG实现,最简单、最实用的程序。里面还有技术文档,包括如何使用还有一些信号的意义。非常有用,我也是辛苦才找到的-The realization VERILOG SPI simplest and most practical program. With technical documentation, including how to use some of the signal. Very useful, I also hard to find
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:111039
    • 提供者:xiaolu
  1. CAcode

    0下载:
  2. CA码的FPGA实现,verilog编写-CA Code of FPGA implementation, verilog preparation
  3. 所属分类:Other systems

    • 发布日期:2016-11-16
    • 文件大小:10240
    • 提供者:余彦培
  1. ca_gen

    0下载:
  2. 此Verilog程序产生用于GPS卫星导航信号的C/A码,输入信号有时钟、时钟使能、复位、给定的卫星编号,输出产生的C/A码。此程序在代码上进行优化,占用了更少的资源。-This procedure generated Verilog for the GPS satellite navigation signals C/A code, the input signal with the clock, clock enable, reset, given the satellite number,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:797
    • 提供者:李殿为
  1. 2step_iir_filter

    0下载:
  2. 2阶iir 2KHz陷波器Verilog源代码。-2-order iir 2KHz notch filter Verilog source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:113048
    • 提供者:zhouxiao
  1. MAC

    0下载:
  2. Verilog code for MAC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1053610
    • 提供者:dheeru
  1. lfsr

    0下载:
  2. 用LSFR实现计数功能,可以减少对寄存器和少一个加法器,涉及verilog的人来说-Used to achieve LSFR counting functions, can be reduced to a few registers and adders, the people involved in Verilog
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:61736
    • 提供者:liuzefu
  1. c21_pn_code_generator

    0下载:
  2. 精通verilog HDL语言编程源码之7——伪随机序列应用设计-Proficient in programming language source verilog HDL of 7- the application of pseudo-random sequence design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1193
    • 提供者:李平
  1. uart

    0下载:
  2. verilog编写的uart发送和接收的源代码。简单易懂。-verilog uart prepared to send and receive the source code. Straightforward.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:479471
    • 提供者:luoqv
  1. ram2

    1下载:
  2. RAm的 verilog描述,在Quartus中验证正确,可根据程序改成其他参数-Verilog descr iption of RAm in Quartus verify correct procedures can be changed in accordance with other parameters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1968
    • 提供者:fang
  1. ca

    1下载:
  2. 基于vhdl/verilog的gps接收机伪随机码产生程序。已经过仿真综合。-Based on vhdl/verilog of the gps receiver pseudo-random code generation process. Simulation has been integrated.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1314
    • 提供者:包鼎华
  1. i2c_model.tar

    1下载:
  2. I2C EEPROM verilog simulation model
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:635209
    • 提供者:Ravi
  1. gh_timer_8254_081608

    0下载:
  2. Timer 8254 Verilog source code
  3. 所属分类:Other systems

    • 发布日期:2017-03-26
    • 文件大小:108235
    • 提供者:Joe Hung
  1. DAC8812

    0下载:
  2. DA转换,Verilog HDL 编的,可实现DA转化。DA芯片用的是DAC8812,实现16位数模转化。-DA conversion, Verilog HDL code, the DA conversion can be achieved. DA-chip using a DAC8812, 16-bit analog-to achieve transformation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:674652
    • 提供者:jackosn
  1. watch

    0下载:
  2. 基于verilog-HDL的电子秒表电路,采用quartusII72编译仿真,经下载测试通过。-Verilog-HDL-based electronic stopwatch circuit simulation using quartusII72 compiled by downloading the test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:388282
    • 提供者:潘萌
  1. dpll

    3下载:
  2. 基于Verilog的数字锁相环。包括三个模块,数字鉴相器DPD、数字环路滤波器DLF、数控振荡器 DCO三部分构成-Verilog-based digital PLL. Consists of three modules, the digital phase detector DPD, digital loop filter DLF, digitally controlled oscillator DCO three parts
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-27
    • 文件大小:668875
    • 提供者:栾帅
  1. source3-6

    0下载:
  2. verilog hdl教程135例:verilog hdl语言类似于C语言,便于学习。本文档带有源代码,3-6章-Verilog HDL 135 cases Guide : Verilog HDL language similar to the C language, to facilitate learning. This document with the source code, 3-6
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:12606
    • 提供者:余月森
  1. source11-12

    0下载:
  2. verilog hdl教程135例:verilog hdl语言类似于C语言,便于学习。本文档带有源代码,11-12章-Verilog HDL 135 cases Guide : Verilog HDL language similar to the C language, to facilitate learning. This document with the source code 11-10-12 Cap
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:9356
    • 提供者:余月森
  1. RISC

    0下载:
  2. hrisc cpu,为何只有vhdl选择呢?大家都用verilog的啊-hrisc cpu why only VHDL choice? We all use the Verilog ah
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:128508
    • 提供者:12
  1. video_from_opencore

    0下载:
  2. 全电视信号编码器,verilog的,看看有借鉴价值否?-video signal encoder, Verilog, to see whether the reference value?
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:153222
    • 提供者:12
  1. sdr_c_trl_verilog

    0下载:
  2. SDRAM 控制器的Verilog代码 经过综合验证过的.无截压密码-SDRAM controller Verilog code comprehensive test after all. No cut-off pressure Password
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:12306
    • 提供者:曹大壮
« 1 2 ... 42 43 44 45 46 4748 49 50 »
搜珍网 www.dssz.com