CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog LED

搜索资源列表

  1. FPGA-led-code

    0下载:
  2. 用Verilog实现LED亮灯的程序,适合初学者掌握,是Altera公司的-Verilog to achieve LED lighting program, suitable for beginners to master, Altera Corporation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:291125
    • 提供者:王网
  1. stopwatch-by-verilog-HDL

    1下载:
  2. 一个基于FPGA用verilog HDL 编写的数字秒表已经LED灯的配合-LED lamp with a digital stopwatch has been prepared based on the FPGA using verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:807895
    • 提供者:李博
  1. led

    0下载:
  2. 基于xc4vsx25芯片的verilog语言程序,用于实现流水灯功能,包含源程序代码及管脚设置文件,本程序均通过调试,可于SEED-XDTK_V4实验箱上实现。-Verilog language program based on xc4vsx25 chips for light water features, including source code and pin settings file, the proceedings were conducted by debugging can b
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:1153
    • 提供者:徐世超
  1. LED

    0下载:
  2. LED流水灯工作,Altera FPGA控制LED灯,编程使用Verilog语言,控制六个流水灯-6 LEDs,control by FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-11-23
    • 文件大小:310874
    • 提供者:张天霖
  1. LED_TEST

    0下载:
  2. Verilog的LED闪烁程序,xilinx ISE开发环境-Verilog LED flashes, Xilinx ISE development environment
  3. 所属分类:Other systems

    • 发布日期:2017-11-20
    • 文件大小:326580
    • 提供者:Jerry
  1. LED

    0下载:
  2. 控制led发光的Verilog代码,实现流水灯的效果。-Control led light Verilog code, to achieve the effect of light water.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:2603
    • 提供者:武磊
  1. led

    0下载:
  2. 流水灯,就是利用verilog语言实现一个简单的流水灯,主要是让大家勒戒一下结构-the led is flow water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:570
    • 提供者:顾客
  1. flash-led

    0下载:
  2. 利用verilog语言实现fpga硬件下一个简单的流水灯试验,三只灯实现流水操作,一只实现闪烁操作,非常好的入门参考经典实例-Language verilog fpga hardware utilization under a simple light water experiment, three lights to achieve pipelined to achieve a flashing operation, a very good introductory reference to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:121279
    • 提供者:havi
  1. running-led

    0下载:
  2. verilog 实战训练 跑马灯 初学者必备 简单易懂-verilog combat training Marquee beginners necessary straightforward
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:100135
    • 提供者:xy
  1. Verilog

    0下载:
  2. 按键消抖控制LED程序.键值变化开始计时 10ms-LED key debounce control program. Keys change start time 10ms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:107264
    • 提供者:邓宇
  1. led

    0下载:
  2. 是基于VERILOG的LED灯控制很简单的-LED lights are controlled based VERILOG very simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:37147
    • 提供者:he
  1. LED

    0下载:
  2. basys2 流水灯 verilog语言编写-basys2 light water verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:803050
    • 提供者:刘铁峰
  1. LED

    0下载:
  2. 四种亮灯方式自由切换的跑马灯程序,若想在最开始就体会到学习verilog的乐趣,那就先学习跑马灯程序吧-Marquee program four free switching of lighting the way, if you want to appreciate at the very beginning of learning verilog fun it would be to learn Marquee program
  3. 所属分类:File Formats

    • 发布日期:2017-04-14
    • 文件大小:3933
    • 提供者:shadow
  1. led_state3

    0下载:
  2. verilog 三段式LED,有益于参考学习状态机!-verilog led three state
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:216875
    • 提供者:xiao
  1. led

    0下载:
  2. FPGAled灯控制,采用Verilog语言,入门学习,调试使用-FPGAled light control, using Verilog language learning portal, debugging
  3. 所属分类:MPI

    • 发布日期:2017-04-08
    • 文件大小:228833
    • 提供者:qianjie
  1. water-LED

    0下载:
  2. 用VERILOG语言实现的LED流水灯实验,通过移位方法实现,代码简单实用。-VERILOG language with an LED light water experiment, achieved by shifting method, the code is simple and practical.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:357318
    • 提供者:zyb
  1. Lab5.5_Led_FPGA

    0下载:
  2. Verilog LED FPGA仿真程序-Verilog LED FPG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:242455
    • 提供者:李狗蛋
  1. key-led

    0下载:
  2. 按键控制LED的工程,使用Verilog编写 程序完整 对初学者来说是个不错的借鉴-LED button control engineering, using Verilog programming complete beginners is a good reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4326552
    • 提供者:guojing
  1. LED

    0下载:
  2. led的verilog试验,在spandIII上的开发试验-led the verilog test on the development and testing spandIII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:256757
    • 提供者:gao zongyu
  1. LED

    0下载:
  2. QuartusII 9下的LED灯示例,很简单的例子,可以直接运行-The sample of LED of quartus II 9.0 with the language of Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1213646
    • 提供者:peanut
« 1 2 3 4 56 7 8 9 10 ... 18 »
搜珍网 www.dssz.com