CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog Source code

搜索资源列表

  1. parallel_to_serial.rar

    0下载:
  2. 一个并行转串行的verilog源程序,可以讲12位并行数据转换为一个串行数据,A parallel to serial verilog source code you can transfer your parallel data to serial data.you have 12bits parallel data then you will have a serial data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:153961
    • 提供者:梅博
  1. decode.rar

    0下载:
  2. LDPC的Verilog程序源代码,包括仿真数据等。文件很大,请慢慢下载,LDPC of Verilog source code, including the simulation data. Large file, please download slowly
  3. 所属分类:3G develop

    • 发布日期:2017-05-28
    • 文件大小:10801628
    • 提供者:陈炜炜
  1. 8b10b_encdec.rar

    1下载:
  2. 8b10b转换编码、解码verilog源代码,8b10b transcoding, decoding verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:70174
    • 提供者:wx
  1. hw8.rar

    1下载:
  2. Verilog中经典的自动售货机的源代码,包含测试程序,Vending machine in the classic Verilog source code, including test procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:45716
    • 提供者:萧晓
  1. ssp_arm.rar

    0下载:
  2. arm 的ssp—spi verilog源代码,arm of the ssp-spi verilog source code
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:819585
    • 提供者:子墨
  1. rgb2ycrcb.rar

    1下载:
  2. RGB转为YCBCR格式的verilog源代码,对熟悉verilog编程有帮助,RGB to YCbCr format Verilog source code, to people familiar with Verilog programming help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-03-19
    • 文件大小:17772
    • 提供者:dongming
  1. uart.rar

    0下载:
  2. Verilog编写的UART程序源代码。测试成功。支持字符串发送,UART prepared Verilog source code. Successful test. Support string sent
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1548053
    • 提供者:卢山
  1. cordicDDS

    0下载:
  2. Cordic算法实现DDS的Verilog 源码,14位精度,非常实用的。-DDS algorithm Cordic the Verilog source code, 14-bit accuracy, very practical.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:7592
    • 提供者: 王王
  1. FIFO

    0下载:
  2. verilog编写的读写fifo的源码,包括sram的读写控制-verilog source code written to read and write fifo, including the sram to read and write control
  3. 所属分类:Other systems

    • 发布日期:2016-01-27
    • 文件大小:176116
    • 提供者:haha
  1. TFTDriverNew_V2

    0下载:
  2. TFT液晶屏驱动模块Verilog源码。实现方法:XC95288+K6R4008,K6R4008主要用作帧缓冲区,此模块仅支持256色-TFT LCD driver module Verilog source code. Realization: XC95288+ K6R4008, K6R4008 mainly used as a frame buffer, this module only supports 256 colors
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:3034
    • 提供者:zhangming
  1. VERILOG-USB2.0IP-core

    0下载:
  2. 完整的用VERILOG语言开发的USB2.0 IP核源代码,包括文档、仿真文件-VERILOG language with a complete development of USB2.0 IP core source code, including files, simulation files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:220152
    • 提供者:king
  1. robertvision

    0下载:
  2. 基于FPGA的嵌入式机器人视觉识别系统模块源代码,也包括了所有硬件设计资料,是VERILOG格式-Embedded FPGA-based Robot Vision Recognition System module source code, including all hardware design information
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:977578
    • 提供者:lilei
  1. fir_16

    0下载:
  2. fir滤波器-verilog,基于verilog的fir滤波器源码-fir filter-verilog, the fir filter based on the Verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:742835
    • 提供者:zhc
  1. verilog

    0下载:
  2. 采用用verilog语言编写的全数字锁相环的源代码。-Verilog language used by all-digital phase-locked loop' s source code.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-29
    • 文件大小:103089
    • 提供者:采儿
  1. vga_control

    0下载:
  2. vga 控制器的verilog 源码 ,fpga上可实现图片的显示-vga controller Verilog source code, fpga achievable picture display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1480
    • 提供者:zys
  1. resolutionquartusII

    1下载:
  2. 用verilog编写的分辨率提高的源代码 采用双线性插值-Written resolution with the verilog source code to improve the use of bilinear interpolation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6241225
    • 提供者:权晶
  1. fpga_ads8364

    1下载:
  2. fpga控制ti的多通道高精度ad芯片ads8364的verilog源码-fpga multi-channel high-precision control ti ad-chip ads8364 the verilog source code
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-23
    • 文件大小:516
    • 提供者:求求
  1. aescore

    1下载:
  2. 基于FPGA的AES算法实现的VERILOG源代码,对于信息安全专业研究AES算法的硬件实现很有用-FPGA-based AES algorithm implementation VERILOG source code, for the information security professional research of the hardware implementation of AES algorithm is useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:195647
    • 提供者:李华
  1. lfsr

    0下载:
  2. 伪随机序列产生器-线性反馈移位寄存器,Verilog HDL 原代码。-Pseudo-random sequence generator- linear feedback shift register, Verilog HDL source code.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-22
    • 文件大小:883
    • 提供者:李辛
  1. gcd

    0下载:
  2. 这是一个求最大公约数的verilog源码-this is a verilog source code which can count the greatest common divider .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:312301
    • 提供者:杨振飞
« 1 2 3 45 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com