CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog chip

搜索资源列表

  1. ad_da_ctr

    1下载:
  2. 基于FPGA的ad和da转换Verilog代码,FPGA采用ep2c5芯片,做成异步fifo,ad芯片采用TI的ths1230,da芯片采用TI的TLV5619,仿真结果基本正确。-FPGA-based ad and da conversion Verilog code, FPGA using ep2c5 chip, made ??of asynchronous fifo, ad-chip using TI s ths1230, da chip uses TI s TLV5619, simula
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2300036
    • 提供者:ych
  1. max197

    0下载:
  2. verilog编写的状态机控制A/D芯片MAX197正常工作-use verilog write the state machine which is used to meke the A/D chip working!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:714
    • 提供者:zhang
  1. SPI_controller

    1下载:
  2. SPI serial flash ROM的verilog源代码, 针对winbond W25x16,已经经过逻辑验证,并实际用在芯片设计中,作为一个模块,正常工作.-SPI serial flash ROM in verilog source code for winbond W25x16, logic has been verified, and actually used in chip design, as a module to work.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-26
    • 文件大小:8632
    • 提供者:Jerd Hu
  1. ad1674

    2下载:
  2. `高速AD1476 驱动程序 应用于高速AD(模数转换)与单片机接口-`AD1476 high-speed driver used in high-speed AD (analog-to-digital conversion) and single-chip interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:249651
    • 提供者:rui
  1. wm8731_zhengxianbo

    1下载:
  2. 讲诉了如何编写VERILOG程序通过DE2开发板的wm8731芯片产生正弦波-Talk about how to write VERILOG v. procedure DE2 development board wm8731 chip generated sine wave
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2013-11-05
    • 文件大小:33975
    • 提供者:xiaopeng
  1. PS_2

    0下载:
  2. 此模块用于"PS/2接口的鼠标或键盘"与"具有外部读写的8位并口单片机"双向通信模块. Verilog HDL语言编写,在Quartus II 8.1 (32-Bit)软件中编译,并下载至EPM7128SLC84-10芯片中通过. 文件中有详细的注解. 此模块具有对于PS/2时钟和数据线的滤波功能,这样减少外部干扰,保证通信的可靠性! -This module for the "PS/2 mouse or keyboard interface" and "read
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:4932
    • 提供者:yuantielei
  1. USB_kz

    0下载:
  2. 提供Cy7C68013 USB芯片开发源程序,由verilog编写-Cy7C68013 USB chip to provide the development of source code, prepared by the Verilog
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:1193
    • 提供者:sky
  1. AD9708

    1下载:
  2. AD9708是高速AD转换芯片,采用VHDL实现10MSPS高速AD数据采集-AD9708 is high speed a/d conversion chip,10MSPS,using VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-16
    • 文件大小:851968
    • 提供者:yu_hai_yang
  1. xtp051_sp601_schematics

    0下载:
  2. Xilinx公司最新的Spartan 6系列FPGA所用的开发板电路图,详尽包括了电源、IO、外设、USB等部分的内容,极具有参考价值,另外还有一个USB芯片 68013所使用的HEX文件可供下载-Xilinx' s new Spartan 6 Series FPGA development board used in circuit detail, including the power, IO, peripherals, USB and some other content, most
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:311446
    • 提供者:Frank
  1. DAC8812

    0下载:
  2. DA转换,Verilog HDL 编的,可实现DA转化。DA芯片用的是DAC8812,实现16位数模转化。-DA conversion, Verilog HDL code, the DA conversion can be achieved. DA-chip using a DAC8812, 16-bit analog-to achieve transformation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:674652
    • 提供者:jackosn
  1. photo_verilog

    0下载:
  2. verilog开发的电子相册系统,是基于Altera的FPGA芯片和IP核的设计!-Verilog developed electronic album system is based on Altera s FPGA chip and IP core design!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:21824
    • 提供者:sq
  1. SPI_verilog

    1下载:
  2. 基于摩托罗拉单片机MC68HC11E的SPI总线的verilog实现 -Motorola single-chip based on the SPI bus MC68HC11E Verilog implementation
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:2882
    • 提供者:metallica
  1. c51

    1下载:
  2. 51单片机,USB,触摸,TFT,的等综合应用,高级别。(usb+flash+touch+tft+ram综合测试)-51 single-chip, USB, Touch, TFT, integrated applications (usb+ flash+ touch+ tft+ ram General Test)
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2015-03-21
    • 文件大小:1505507
    • 提供者:程明
  1. daima

    0下载:
  2. 状态机控制AD转换模块 该模块主要实现对MAX197的控制:根据设计需要对芯片进行初始化(包括写控制字选择输入电压值范围、选择通道以及工作模式),并把通道数送指示灯显示以及用键盘控制通道号(按一下,通道号加1,同时点亮相应的指示灯,循环使用个通道);控制状态机的工作时序,并置两次采集到的数据为12位数据输出,并经过锁存进程来锁存数据,最后从锁存器中把输出数据-The state machine controls AD and changes the module this module ma
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2771
    • 提供者:万俟斌
  1. vhdl

    0下载:
  2. :以上海地区的出租车计费器为例,利用Verilog HDL语言设计了出租车计费器,使其具有时间 显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示 了硬件描述语言Verilog—HDL设计数字逻辑电路的优越性。源程序经MAX+PLUS Ⅱ软件调试、优 化,下载到EPF1OK10TC144—3芯片中,可应用于实际的出租车收费系统。-: A Shanghai taxi meter area for example, the use of Veri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:212299
    • 提供者:mindy
  1. FPGA_8051core

    0下载:
  2. FPGA中嵌入8051单片机核的具体操作方法,有图示说明。-8051 single-chip FPGA embedded in the concrete operation of nuclear, there are icons that.
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:273140
    • 提供者:zhouqing
  1. traffic_lights

    1下载:
  2. Verilog语言3个程序,包括4位二进制的BCD码加法器,ALU位片,交通信号灯。既有源码也有word文档说明。-Verilog language three procedures, including 4-bit binary code of the BCD adder, ALU-bit chip, traffic lights. Only source documents that have word.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1596670
    • 提供者:郭函
  1. IIRtest

    1下载:
  2. quartusII9.0开发环境下巴特沃斯IIR滤波器的实现完整的工程文件,同时里面有文档详细说明如何用modelsim对altera芯片进行仿真-development environment quartusII9.0 Butterworth IIR filter to achieve a complete project file, but there are documents in detail how to use modelsim to altera-chip simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:44794234
    • 提供者:赵辉
  1. MCU_FPGA_Interface

    1下载:
  2. msp430单片机用IO口模拟总线时序,与FPGA进行交互的程序,附源代码,verilog,有简单文档。-msp430 I single-chip analog IO bus with timing, with the FPGA interactive process, with the source code, verilog, a simple document.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:870562
    • 提供者:柴佳
  1. 61EDA

    0下载:
  2. 分析了各种视频采集方案的研究现状。对如何采用CCD 摄像头采集高分辨率、高质量的图像以及基于FPGA 的嵌 入式视频图像采集系统的实现方法进行了研究。采用了以摄像头+ 解码芯片模式为采集方案, 针对视频解码芯片 ADV7181B,实现了I2C 总线配置、ITU656 解码、VGA 显示模块的设计。设计的视频采集控制器已经在Altera 公司的CycloneII 系列FPGA(EP2C35)上实现。结果显示本设计具有速度高、成本低、易于集成等优点-Analysis of a varie
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:179647
    • 提供者:李明
« 1 23 4 5 6 7 8 9 10 ... 17 »
搜珍网 www.dssz.com