CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog machine

搜索资源列表

  1. bitdetect

    0下载:
  2. verilog代码编写110100序列的序列检测器,用状态机实现,包括仿真测试代码-verilog coding sequence detector 110100 sequence state machine implementation, including simulation test code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:20016
    • 提供者:cln
  1. EDA

    0下载:
  2. 我的EDA课程设计 Verilog HDL 自动售票机的实现 ·设计目标: 本设计完成基于Verilog HDL的自动售票系统,综合软件用Quartus II8.1。 本自动售票系统可以完成1元、2元、3元、4元四种票的自动售出,货币种类可以是1元、5元、10元、50元、100元,能自动找零和显示 ·总体设计: 共有四个主要模块和一个顶层模块:四个模块分别是主控模块、统计模块、出票模块和找零模块;顶层模块负责各模块间的连接,组成一个可用的自动售票系统。-My EDA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1252395
    • 提供者:程浩武
  1. zhuangtai

    0下载:
  2. Verilog语言实现状态机的设计,实现的状态机总共有三种,均给出了具体的实现方案-Design and implementation of the state machine of the Verilog language, the state machine to achieve a total of three, were given a concrete implementation scheme
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:709780
    • 提供者:萧寒
  1. fsm

    0下载:
  2. verilog四状态状态机 带异步清零端和测试向量 mealy型状态机 很好用哦 -verilog four state machine with asynchronous clear end and test vectors mealy-type state machine oh well
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:315669
    • 提供者:普通场
  1. black_jack

    0下载:
  2. verilog编写的21点游戏,用状态机写的,A可以表示1也可以表示11.-verilog 21-point game, written by a state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:621
    • 提供者:homeaway
  1. washmachine

    0下载:
  2. 基于FPGA的洗衣机控制器 verilog语言 实现注水 脱水,正反转反复控制 状态机-FPGA-based controller verilog language washer water dehydration, reversing repeated control state machine
  3. 所属分类:Project Design

    • 发布日期:2017-04-17
    • 文件大小:78182
    • 提供者:jasminee
  1. i2c-master

    1下载:
  2. I2C Master Code in Verilog using Finite State Machine.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-06
    • 文件大小:4096
    • 提供者:Shekhar Jha
  1. Vendor

    1下载:
  2. 用verilog编写的自动售货机,基于Basys2平台,共有3种物品可以选,分别为4元,2.5元,1元,可以投入3种类型的货币,分别为1元,5元,10元,共有5个状态。-This is a vending machine which is written by verilog on Basys2 board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1711438
    • 提供者:郭少佳
  1. hengwenxiang

    0下载:
  2. 恒温控制器,由状态机连接到温度传感器,温度控制的控制。该代码是用verilog编写的恒温控制,在每个语句有一个中文的描述-Thermostat controller, controlled by a state machine connected to the temperature sensors, temperature control. The code is written in verilog thermostat control, after each statement has a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1078
    • 提供者:刘禹韬
  1. traffic

    0下载:
  2. 基于Verilog的交通灯,包含分频器模块、计数模块以及控制模块。状态机编写-Verilog-based traffic lights, including the divider block, counting module and a control module. Write state machine
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:101258
    • 提供者:李钊
  1. zhuangtaiji

    0下载:
  2. 状态机 多种状态的转换 verilog语言编写-Convert verilog language write state machine multiple states
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:442237
    • 提供者:龚强
  1. verilog_sdram

    0下载:
  2. I used code verilog. Synchronous dynamic random access memory (SDRAM) is dynamic random access memory (DRAM) that is synchronized with the system bus. Classic DRAM has an asynchronous interface, which means that it responds as quickly as possible to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:28889
    • 提供者:thuanbk
  1. adc0809

    0下载:
  2. 1、用状态机设计A/D转换器ADC0809的采样控制电路,并在数码管上显示转换结果; 2、设置有复位和启动/保持开关,要求 ⑴ 复位开关用来使A/D转换器复位,并做好A/D转换准备; ⑵ 启动/保持开关用来控制A/D转换器开始连续转换或停止转换保持结果,即按一下启动/保持开关,启动A/D转换器开始转换,再按一下启/停开关,停止转换并保持结果。 3、采用Verilog HDL语言设计符合上述功能要求的控制电路。-1, with the state machine design A/
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:108390
    • 提供者:YINJIE
  1. sencond_counter

    0下载:
  2. 在ise14.7开发环境下,用Verilog编写的秒表程序,其中通过状态机实现数码管的动态显示-In ise14.7 development environment, using Verilog prepared stopwatch program in which the state machine implementation through dynamic digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:54192
    • 提供者:喻国芳
  1. vendingmachine_verilog

    0下载:
  2. This file is solution of project that can make vending machine in language of verilog (also can be activated in altera cyclone2 board)
  3. 所属分类:Project Design

    • 发布日期:2017-05-02
    • 文件大小:610006
    • 提供者:eunkoo
  1. serial_number_check

    0下载:
  2. 序列检测,学习verilog三段式状态机的经典例程,modelsim仿真无误-Sequence Detection, three-state machine learning verilog classic routines, modelsim simulation is correct
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:431949
    • 提供者:
  1. verilogiic1121

    0下载:
  2. 用verilog状态机写的IIC通信模块,包括两个子模块和一个顶层模块,均为verilog源码-Written in verilog state machine IIC communication module, including two modules and a top-level module, they are all the verilog code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4604
    • 提供者:zhaolin
  1. Ch8

    0下载:
  2. 《Verilog HDL数字系统设计及仿真》第八章有限状态机的设计源代码-" Verilog HDL design and simulation of digital systems." Chapter VIII of the finite state machine design source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1133
    • 提供者:Cliu
  1. xuliejianceqi

    1下载:
  2. 序列检测器00101,包括源代码,testbench,ise13.4测试以及综合通过等说明文档。-Sequence detector 00101, the state machine verilog, testbench, ise13.4 simulation map. The test is successful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:174080
    • 提供者:xuzehao
  1. PS2_SOC1

    0下载:
  2. 用Verilog 设计了PS2 键盘 模块。 在altera公司的Cyclone系列测试了。 正常动作。包含者 doc软件,说明了动作原理。-This is a state-machine driven serial-to-parallel and parallel-to-serial interface to the ps2 style keyboard interface.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:83324
    • 提供者:金铁男
« 1 2 ... 10 11 12 13 14 1516 17 18 »
搜珍网 www.dssz.com