CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog wave

搜索资源列表

  1. DAC0832_control

    0下载:
  2. 用verilog HDL编程实现的基于DAC0832的三角波信号,可借鉴编程实现DAC0832芯片控制-Programming with verilog HDL DAC0832-based triangular wave signal, we may learn programming DAC0832 chip control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:637
    • 提供者:hemy
  1. test

    0下载:
  2. 利用verilog 寫 三角波的產生 利用verilog 寫 三角波的產生-Written using the triangular wave generated verilog verilog to write using the triangular wave generated by the triangular wave generation write verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:22194
    • 提供者:zih siang
  1. EP2C8_PER_pwm

    0下载:
  2. EP2C8Q208,产生PWM波的程序,verilog编写的,希望对大家有用-EP2C8Q208, PWM wave generation process, verilog written in the hope that useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:71748
    • 提供者:wangyunlong
  1. VCDdecoder

    0下载:
  2. 基于GTK-wave做的verilog test bench语法解析器 解析vcd file. 俺自己写的-VCD (Value Change Dump) file is widely used in industry. A VCD file is an ASCII file, which contains header information, variable definitions and the value changes for specified variables, or
  3. 所属分类:Compiler program

    • 发布日期:2017-05-24
    • 文件大小:8051632
    • 提供者:Hao Wang
  1. sin_50Hz

    0下载:
  2. 基于FPGA的50Hz的正弦波的产生,verilog语言-FPGA-based 50Hz sine wave generation, verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2046
    • 提供者:王辉
  1. poc

    0下载:
  2. verilog 写的POC接口代码。测试波形功能通过。内有波形模拟CPU以及仿真文件。-A poc module written by verilogHDL.Can be used in communicating with MCUs. The simulate wave file is already inside.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:219681
    • 提供者:王润
  1. sincount

    0下载:
  2. 用verilog语言开发的,ise产生正弦波的工程文件-Ise generate the triangular wave file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-13
    • 文件大小:20614009
    • 提供者:赵海权
  1. fankuizhendang

    0下载:
  2. 本程序是基于verilog HDL语言设计的反馈震荡电路的程序。其构成的电路叫振荡电路。能将直流电转换为具有一定频率交流电信号输出的电子电路或装置。种类很多,按振荡激励方式可分为自激振荡器、他激振荡器;按电路结构可分为阻容振荡器、电感电容振荡器、晶体振荡器、音叉振荡器等;按输出波形可分为正弦波、方波、锯齿波等振荡器。-This program is a feedback oscillator circuit design based on Verilog HDL language program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:90627
    • 提供者:李炳旭
  1. da--sine

    0下载:
  2. 利用dds方法,通过DA输出正弦波,频率1KHz 频率根据代码可调-DA output sine wave frequency 1KHz (Verilog)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3603114
    • 提供者:范子剑
  1. sine_wave_2011_0329

    0下载:
  2. 正弦波波形发生器,verilog编写,Modsim仿真。-sine wave genonter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2098062
    • 提供者:邓楠
  1. xinhao

    1下载:
  2. 基于verilog的数字信号产生器,包括三角波、方波、正弦波,频率可调。-Verilog-based digital signal generator, including a triangle wave, square wave, sine wave, frequency adjustable.
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:1273
    • 提供者:任晓波
  1. DDS-SIN

    0下载:
  2. 用verilog语言实现DDS的正弦波发送-DDS sine wave sent verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2629856
    • 提供者:牛倩
  1. Frequency-tester

    0下载:
  2. 数字频率计,能自动测试输入方波脉冲的频率,通过LCD1602显示,是用Verilog HDL写的-Digital frequency measurement,Can automatic testing input square wave pulse frequency, through the LCD1602 shows, it is to use Verilog HDL write
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:7885
    • 提供者:豪哥
  1. sinw

    0下载:
  2. 用verilog写的正弦波发生器,QuartusⅡ环境-Sine wave generator written in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:521443
    • 提供者:杀虫剂
  1. DDS

    0下载:
  2. DDS正弦波发生模块 基于verilog语言实现 在cycloneii系列FPGA上经过验证 频率步进1khz 共有256个点-The DDS sine wave module based on verilog language achieve in cycloneii series FPGA proven frequency stepping 1khz 256 points
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:3008157
    • 提供者:
  1. verilog_dds

    0下载:
  2. verilog实现dds,用于FPGA产生正弦波,适用于Cyclone 2系列-verilog achieve dds, FPGA is used to generate the sine wave, in the Cyclone Series
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16790004
    • 提供者:sunlin
  1. DE0_NANO_default

    0下载:
  2. PWM波控制LED亮度,使用Verilog语言,开发环境为Altera的quatus 11,使用的的DE0-Nano-PWM wave control LED brightness, the use of the Verilog language development environment for Altera' s quatus 11, use the DE0-Nano
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:38186
    • 提供者:程巍
  1. dds_project

    0下载:
  2. DDS直接数字频率合成器,能产生正弦波,方波,锯齿波,三角波四种波形,同时能在12864上显示波形类型和频率,用FPGA verilog实现的-DDS direct digital frequency synthesizer can produce sine, square wave, sawtooth wave, triangle wave four waveform, while in the 12864 on display the waveform type and frequency
  3. 所属分类:software engineering

    • 发布日期:2017-06-20
    • 文件大小:31589376
    • 提供者:邹雪峰
  1. frequency

    0下载:
  2. 能够检测方波正弦波以及锯齿波的频率,并且以及试过可以运行,采用的开发环境是ISE,编程语言是Verilog-Able to detect a square wave frequency of the sine wave and sawtooth wave, and as well tried can run the development environment is the ISE, the programming language is Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:681575
    • 提供者:Owen
  1. Regtangle_wave_DDS

    0下载:
  2. 利用VERILOG编写的DDS产生方波的程序-Using VERILOG written DDS program produce a square wave
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-13
    • 文件大小:1925703
    • 提供者:lz
« 1 2 3 45 6 7 »
搜珍网 www.dssz.com