CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog wave

搜索资源列表

  1. fenpin5_5

    0下载:
  2. Verilog 语言实现利用FPGA对输入方波实现5.5分频-the frequency of a rectangular wave is divided 5.5 using the FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:348348
    • 提供者:王斌一
  1. boxingfashengqi

    0下载:
  2. 波形发生器的源代码,有正弦波,三角波,锯齿波,方波。modelsim仿真,包含testbench仿真代码,testbench用的verilog编写,波形发生器源代码用的VHDL编写。-Waveform generator source code, sine, triangle, sawtooth, square wave. modelsim simulation, testbench simulation code contains, verilog write testbench use, w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4753911
    • 提供者:hbxgwjl
  1. dds_generater

    0下载:
  2. 波形发生器,可以生成正弦波、三角波、方波、锯齿波;可以选择输出频率和幅度,基于DDS设计,verilog和QuartusII开发-Waveform generator can generate sine, triangle, square wave, sawtooth wave you can the output frequency and amplitude, DDS-based design, verilog and development QuartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5154290
    • 提供者:zhang
  1. FPGA_WAVE

    0下载:
  2. FPGA VERILOG产生任意波形的信号-wave fpga verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:5119562
    • 提供者:china
  1. DDS(ok)

    0下载:
  2. 制作ROM正弦表并填充FPGA内部ROM,通过调用内部数据实现正弦波输出,开发环境quartusii , 语言verilog , 调试通过 , 附有modelsim调试结果。-Make ROM sine table and fill the ROM internal FPGA, by calling the internal data to achieve the sine wave output, development environment QuartusII, Language Veri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10149508
    • 提供者:PrudentMe
  1. DDS

    0下载:
  2. 基于FPGA,Verilog语言编写的DDS信号发生器,可生成方波、正玄波,三角波。-Based FPGA, Verilog language DDS signal generator that generates a square wave, sine wave, triangle wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:131453
    • 提供者:梁世强
  1. pwm_generate_module

    0下载:
  2. verilog编写的,用按键控制PWM波占空比。可以定义死区,用来控制舵机或者led灯的亮暗。-Verilog prepared, with the button to control the PWM wave duty cycle. You can define the dead zone, used to control the steering gear or led lights bright and dark.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:763
    • 提供者:刘宇洋
  1. 8位数字显示的简易频率计

    1下载:
  2. (1)能够测试10HZ~10MHZ的方波信号; (2)电路输入的基准时钟为1HZ,要求测量值以8421BCD码形式输出; (3)系统有复位键; (4)采用分层次分模块的方法,用Verilog HDL进行设计,并对各个模块写出测试代码; (5)具体参照说明文档(包含源代码,仿真图,测试波形,详细的设计说明)(A square wave signal capable of testing 10HZ~10MHZ; (2) the reference clock input by the ci
  3. 所属分类:VHDL/FPGA/Verilog

  1. 测pwm波占空比

    1下载:
  2. 基于Verilog的接受pwm波并且测量pwm波占空比(Measuring the duty cycle of PWM wave)
  3. 所属分类:VHDL/FPGA/Verilog

  1. square_wave

    0下载:
  2. 使用FPGA的verilog语言生成方波调制波形(To generate square wave)
  3. 所属分类:其他

    • 发布日期:2018-01-07
    • 文件大小:4018176
    • 提供者:2106k
  1. DACVERILOG

    0下载:
  2. DAC IC AD9708Driver code,use verilog hdl,Can output sine wave, cosine wave
  3. 所属分类:硬件设计

    • 发布日期:2018-01-07
    • 文件大小:701440
    • 提供者:w74177
  1. DACAD9708

    0下载:
  2. DAC_AD9708的verilog hdl 代码,简单易懂,AD9708为top文件,需要自己配置只读存储器,输出正弦波。(DAC_AD9708 verilog HDL code, AD9708 for top file, need to configure read only memory, output sine wave.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:3072
    • 提供者:w74177
  1. DDS30k

    0下载:
  2. 在quartus开发平台基于直接数字频率合成技术利用Verilog语言实现正弦信号和三角波信号发生(Verilog was used to generate sine and triangle wave signals based on direct digital frequency synthesis in quartus development platform.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:6219776
    • 提供者:gmj3841168
  1. 8bit-freqDetect

    1下载:
  2. 题目1:设计一个8位数字显示的简易频率计。要求: ①能够测试10Hz~10MHz方波信号; ②电路输入的基准时钟为1Hz,要求测量值以8421BCD码形式输出; ③系统有复位键; ④采用分层次分模块的方法,用Verilog HDL进行设计。 ⑤写出测试仿真程序(Topic 1: Design a simple frequency meter with 8 digits display. Requirement: It can test 10 Hz ~ 10 MHz square wave si
  3. 所属分类:其他

    • 发布日期:2019-12-12
    • 文件大小:140288
    • 提供者:鹏jjjjj
« 1 2 3 4 5 6 7»
搜珍网 www.dssz.com