CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ad fpga

搜索资源列表

  1. PAPER

    0下载:
  2. 基于FPGA的高速并行A_D采样控制电路的设计-AD high speed sampling circuit design based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:317711
    • 提供者:xin
  1. adda

    1下载:
  2. fpga作为ad与da的桥梁,实现快速模数,数模转换。-fpga as ad and da bridge, fast analog to digital, digital to analog conversion.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-20
    • 文件大小:1583104
    • 提供者:liu
  1. adc0809ctrl

    0下载:
  2. 用fpga芯片使用vhdl语言对AD转换芯片ADC0809进行控制-Using the fpga chip use language of VHDL AD transform chip ADC0809 control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:171675
    • 提供者:avir
  1. DDS_fir

    0下载:
  2. FFR滤波器在FPGA上的硬件实现,及检测!可以检测AD输入模块的滤波状况-FFR filter FPGA realize, can detect AD input data filtering effect
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1531878
    • 提供者:於晶晶
  1. vga_DMA

    0下载:
  2. VGA的的检测,VGA的驱动及其测试模块!-vga FPGA realize, can detect AD input data filtering effect
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:3326389
    • 提供者:於晶晶
  1. ADzhuanhuanmokuaisheji

    0下载:
  2. ad转换模块设计,在模数转换中重要作用,由FPGA控制,分频、串并及并串转换等-ad conversion module design, analog to digital conversion in an important role in
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:197864
    • 提供者:王祥虎
  1. ADc

    0下载:
  2. 与单片机相比,用CPLD/FPGA器件更适合于直接对高速AD采样控制。本实验接口器件为ADC0809,根据ADC0809的工作时序使用CPLD产生该控制信号,CPLD启动AD转换后,得到的数据送至单片机并在PC机及数码管上显示AD转换结果。-Compared with the microcontroller, CPLD/FPGA devices more suitable for direct sampling control of high-speed AD. The interface of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:14539
    • 提供者:chen
  1. Temperature_acquisition

    0下载:
  2. 用VHDL语言在FPGA上实现了8路温度数据的采样功能。控制THS1408芯片进行AD转换,采样后存入对应的8组寄存器,并产生使能信号通知其他模块进行8路数据的统一采样。-8-channel temperature data sampling function is implemented on FPGA using VHDL language. Control THS1408 chip AD converter, and sampled into the corresponding set o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2252
    • 提供者:张明
  1. ad_da

    1下载:
  2. Altera FPGA ad采样,da回放-Altera FPGA AD sampling, da playback
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-20
    • 文件大小:580608
    • 提供者:小亮
  1. PCIeDDR2add

    2下载:
  2. PCIE-DDR2-双通道ADDA板主要用于AD数据的记录与回放。该板主要使用Xilinx公司的Virtex5 FPGA,通过PCIE IP核与主机通讯,存储系统包括DDR2 SDRAM和FLASH,为各种软件无线电技术的应用提供了一个非常强大的单插槽收发器解决方案。-PCIE-DDR2 dual-channel ADDA board is mainly used for the AD data recording and playback. The board Virtex5 the FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:254893
    • 提供者:dj
  1. advhdl

    0下载:
  2. 此源程序是基于vhdl的AD转换模块,可用于FPGA的开发与应用-This source code is based on the VHDL AD conversion module, which can be used for development and application of FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:571
    • 提供者:林伟洲
  1. v-watch

    1下载:
  2. 基于fpga的数字电压表的设计,包括ad转换,bcd码转换,分频,3选1模块,小数点生成模块,显示模块组成。-Based on the FPGA digital voltage meter design, including AD conversion, BCD code conversion, frequency,3 choose1module, a decimal point generating module, display module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-04
    • 文件大小:2048
    • 提供者:紫罗
  1. AD7656

    0下载:
  2. AD7656芯片的FPGA接口程序,实现AD采样和数字信息转换-AD7656 chip FPGA interface program, the AD sampling and digital information into
  3. 所属分类:Communication

    • 发布日期:2017-03-24
    • 文件大小:1384
    • 提供者:sheweidong
  1. ADC

    0下载:
  2. EDA技术中,用VHDL语言下载程序到FPGA中,用状态机实现AD转换-EDA technology, into the FPGA using VHDL language download the program using the state machine to the AD converter
  3. 所属分类:Software Testing

    • 发布日期:2017-04-04
    • 文件大小:120685
    • 提供者:朱文艺
  1. adc_based-ELV5620

    0下载:
  2. 基于TLV5620芯片在FPGA上实现对AD芯片的控制,以及实时输出数据-ADC based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:54284
    • 提供者:赵龙贺
  1. ADSample_FPGA

    1下载:
  2. DSP和FPGA协同处理的ad采集程序,包括DSP和FPGA的代码,需要的请下载-DSP and FPGA co-processing of the ad collection procedures, including DSP and FPGA code, you need to download the
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2014-01-13
    • 文件大小:2099725
    • 提供者:wangpoba
  1. GNSS_OEM_USB_FPGA

    0下载:
  2. fpga usb 采集程序,将AD采集过来的数据经USB传给PC机-fpga usb acquisition program, the AD acquisition over the data passed to the PC via USB
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-23
    • 文件大小:35441
    • 提供者:wangpoba
  1. FEP1C3_12_7_SP

    0下载:
  2. 基于FPGA的信号采集及频谱分析,用VHDL编写,压缩包里是Quartus下的工程。AD采样用状态机实现现,并存入LPM_RAM。设计了一个UART模块(也是状态机实现的),可将数据发到PC机上。 已通过测试。 -FPGA-based signal acquisition and spectrum analysis, using VHDL prepared compression bag Quartus engineering. AD sampling using the state mac
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-07
    • 文件大小:214623
    • 提供者:l2003l
  1. ADS7864

    0下载:
  2. 基于quartus的FPGA ADS7864的AD采集。-the ad conver ic is ADS7864 use fpga
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-14
    • 文件大小:1615
    • 提供者:chenkui
  1. DSPPFPGA20050428

    1下载:
  2. DSP和FPGA的试验箱原理文件,包括原理图,各种程序AD/flash,流水灯,以及电机控制等各种程序,以及必要的实验指导书-Chamber principle of DSP and FPGA files, including schematics, various procedures the AD/flash light water, as well as motor control and other procedures, and, if necessary, experiment in
  3. 所属分类:软件工程

    • 发布日期:2014-01-13
    • 文件大小:5988965
    • 提供者:
« 1 2 ... 4 5 6 7 8 910 11 12 13 »
搜珍网 www.dssz.com