CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - adc fpga

搜索资源列表

  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. fpgacaiji

    0下载:
  2. 自己课程设计写的程序,用FPGA控制ADC0809的转换时序来完成模/数转换,然后将转换完的数字信号传递给0832-write their own curriculum design process, Connection between ADC 0809 FPGA control the timing to complete the conversion analog / digital conversion, End then converting the digital signal to
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3668
    • 提供者:xuman
  1. ADC1

    0下载:
  2. 用FPGA实现的ADC采样器,用VHDL编写,8个模拟信号通道地址,8位数据输出
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:125180
    • 提供者:叶开
  1. sample8

    0下载:
  2. 运行在FPGA上的Verilog程序,实现对ADC的控制。在控制模块提供的时钟及控制信号下工作,完成模拟信号的量化和编码。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:300036
    • 提供者:叶开
  1. adc_control

    0下载:
  2. Xilinx FPGA 开发板的ADC采样源程序 内有PDF文档详细说明 VHDL代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:952045
    • 提供者:visual
  1. 电子九阴真经

    0下载:
  2. 《DSP芯片的原理与开发应用》 《通过在FPGA设计流程引入功率分析》改善PCB的可靠性 《如何快速解决PCB设计EMI问题》菜鸟入门必看 《CADENCE射频SiP方法学套件加速无线应用设计》 《如何有效地管理FPGA设计中的时序问题》 《利用微型热管理和电源管理技术》解决电子设计的关键难题 最新射频IC应用编程接口设计方案 《DC/DC电源管理应用中的功率MOSFET的热分析方法》有效的解决方法 《基于PSoC3芯片的步进电机微步控制方案》经典案例 《SVN,HG,GIT命
  3. 所属分类:技术管理

  1. ADS8328

    1下载:
  2. 高速精密ADC,TI公司的ADS8328的FPGA控制程序,使用verilog语言-High-speed precision ADC, TI s ADS8328 control program the FPGA using verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1435
    • 提供者:惊堂木
  1. ADcontroller

    1下载:
  2. FPGA控制AD7610采样.此为通用控制器模块.其中ADC16BITs串行传入FPGA后,串行数据转换成16BIT并行数据-ADC controller
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-19
    • 文件大小:273989
    • 提供者:王光耀
  1. ADC_Fre_counter_LED_keyboard

    0下载:
  2. FPGA tlc0820采样控制 高精度测频 LED键盘显示 VHDl 调试与EP1C3-FPGA vhdl ADC LED keyboard frequency counter test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2595895
    • 提供者:Albert Sun
  1. Altera-FPGA-Testing-v1

    0下载:
  2. This document describes functionality testing of the Altera Cyclone III FPGA Starter Kit Development Board. It also includes testing of associated daughterboards, i.e. the ADA ADC/DAC board and the HSMC to GPIO adapter board.
  3. 所属分类:software engineering

    • 发布日期:2017-05-07
    • 文件大小:1209142
    • 提供者:mchi2ph2
  1. USB-UART-SPI-I2C-IO-ADC-PWM

    5下载:
  2. uc/os operation system,which is used in FPGA nios ii.
  3. 所属分类:Other windows programs

    • 发布日期:2017-06-03
    • 文件大小:17695724
    • 提供者:niukang
  1. ADC

    0下载:
  2. verilog At the last, before starting fist go through the FPGA NEXYS2 Board manual. It will be useful for you for this interfacing and also for the future. Best of luck…, try this one because practice makes man perfect. And, yes also if you have a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2188
    • 提供者:sid
  1. adc

    1下载:
  2. fpga单片机通过AD转换读取电压值并通过数码管显示-The fpga single-chip microcomputer reads the voltage and displays it through a digital tube
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:1652
    • 提供者:huangliang
  1. adc_interface-master

    0下载:
  2. adc with fpga serial data transmission miso,mosi,cs,sclk
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:27648
    • 提供者:subhash
  1. ADC_TLC549

    0下载:
  2. fpga TLC549ADC驱动程序,驱动ADC模块采集电压信息(FPGA Verilog Code for TLC549 Caluc ADC Value)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:2048
    • 提供者:安徒小生
  1. ADC_cha

    0下载:
  2. ADC,Analog-to-Digital Converter的缩写,指模/数转换器或者模拟/数字转换器。是指将连续变量的模拟信号转换为离散的数字信号的器件。真实世界的模拟信号,例如温度、压力、声音或者图像等,需要转换成更容易储存、处理和发射的数字形式。模/数转换器可以实现这个功能,在各种不同的产品中都可以找到它的身影。(ADC Analog-to-Digital, the abbreviation of Converter fingerprint / digital converter or
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:81098752
    • 提供者:一凡么
  1. 八路AD采集adc128s022

    1下载:
  2. FPGA AD采集八路数据,12位分辨率,小梅哥FPGA的开发程序,实测可用(FPGA AD collects eight path data, 12 bit resolution, and the development program of Mayo FPGA.)
  3. 所属分类:书籍源码

    • 发布日期:2018-04-22
    • 文件大小:6685696
    • 提供者:moduleandend
  1. 4.ADC_Ctrl

    0下载:
  2. 模数转换器即 A/D 转换器,或简称 ADC(Analog to Digital Conver),通常是指一个将 模拟信号转变为数字信号的电子元件。(Analog to digital converter, or A/D converter, or ADC. Analog signals are converted into digital signals.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:5527552
    • 提供者:天天爱上学
  1. XADC

    2下载:
  2. xilinx verilog FPGA驱动AD9613 数据采集DEMO程序(Xilinx Verilog FPGA drives AD9613 data acquisition DEMO program.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:793600
    • 提供者:amzhy8
  1. 9268

    1下载:
  2. 国产9268 配置FPGA 码 ,AD9268是一款双通道、16位、125 MSPS模数转换器(ADC),设计用来支持需要高性能、低成本、小尺寸且具多功能性的通信应用。(adi ad9268 16-Bit, 125 MSPS/105 MSPS/80 MSPS, 1.8 V Dual Analog-to-Digital Converter)
  3. 所属分类:中间件编程

    • 发布日期:2019-09-18
    • 文件大小:1024
    • 提供者:panshuo666
« 1 2 3 45 6 7 »
搜珍网 www.dssz.com