CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - can verilog

搜索资源列表

  1. lab.rar

    0下载:
  2. verilog hdl经典例程,全部调试通过,verilogHdl example,all can be used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3980199
    • 提供者:品十六国
  1. dlx_verilog.rar

    0下载:
  2. 这是我个人写的DLX处理器流水线的Verilog代码,在ModelSim中仿真通过,并且在ISE中能综合!即可以下载到FPGA中运行指令,指令可以根据需要定义,也可和相应的编译器配合使用,这里给学习流水线和Verilog的朋友共享。,This is my personal wrote DLX pipeline processor Verilog code, adopted in the ModelSim simulation and can be integrated in the ISE! T
  3. 所属分类:SCSI-ASPI

    • 发布日期:2017-03-29
    • 文件大小:9781
    • 提供者:李乔
  1. mp3decoder.rar

    1下载:
  2. mp3 解码的verilog代码,通过仿真综合及验证,能够播放所有的.mp3文件。压缩包包括所有的verilog源码以及详细的文档。,mp3 decoding Verilog code, the adoption of an integrated simulation and verification, can all play. mp3 file. Compressed packet including all the Verilog source code and detailed docu
  3. 所属分类:mpeg mp3

    • 发布日期:2014-12-28
    • 文件大小:170109
    • 提供者:刘名
  1. CAN_IP.rar

    2下载:
  2. 这是CAN总线控制器的IP核,源码是由Verilog HDL编写的。其硬件结构与SJA1000类似,满足CAN2.0B协议。,This is a IP core of the CAN bus controller written by the Verilog HDL. whose structure is similar with SJA1000,supporting the protocol of CAN2.0B.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:61275
    • 提供者:普林斯
  1. ADC0832_test.rar

    0下载:
  2. ADC0832是一个8-bit的ADC转化芯片,工作频率为250Khz,最大频率可达400Khz,转化通道有两个,输入电压可分有单端或差分形式。本测试使用单端电压输入形式,从昔年的CH0输入电压,使用Xilinx XC3S200AN开发板,并且使用Xilinx ise工具中的ChipScope工具来查看转化后的DO数据是否正确。经验证,输入电压范围是0V--5.5V,当电压达到5.5V时,满刻度.,ADC0832 is an 8-bit conversion of the ADC chip, t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3628113
    • 提供者:zhangjiansen
  1. i2c_ip.zip

    1下载:
  2. I2C的ip核,Verilog实现,可以直接用在你的项目中。I2C是一种简单实用的通讯协议。,I2C' s ip nuclear, Verilog realization, you can directly use in your projects. I2C is a simple and practical protocol.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-01
    • 文件大小:2208070
    • 提供者:caibaiyin
  1. Verilog_VGA.rar

    0下载:
  2. 程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色,可以使用嵌入式逻辑分析仪观测信号。,Procedures for the realization of the function is displayed on the monitor in the VGA color stripes, a total of eight kinds of colors, you can use the embedded logic analyzer signal observation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1127875
    • 提供者:chalin tong
  1. DW8051.rar

    2下载:
  2. Synopsys 公司的DW8051源代码,用verilog编写的,代码很完整,可以仿真,对采用8051核做嵌入式的人很有帮助,Synopsys company DW8051 source code, written with Verilog, the code is complete, can be simulated using 8051 nuclear helpful people who do Embedded
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-03-14
    • 文件大小:68464
    • 提供者:jy
  1. ddc.rar

    2下载:
  2. 数字下变频器的matlab实现,一定的设计指标,可以用来知道vhdl程序设计,Digital Down Converter for matlab realized, certain design specifications that can be used to know VHDL Programming
  3. 所属分类:matlab

    • 发布日期:2017-03-26
    • 文件大小:2123
    • 提供者:杨斌
  1. adc0804_new.rar

    0下载:
  2. AD0804驱动,使用新的查表方式,可大大的降低数值运算,节省CPLD的资源,AD0804 driver,using a new method_look up table,which can save a lot of resources of CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2534
    • 提供者:漫漫
  1. fifo_32_4321.rar

    0下载:
  2. 用verilog写的输出数据宽度可变的FIFO,输入数据为32-bit,输出数据可以配置为4-1任意bit。有设计文件和testbench,Use verilog to write a variable width of the output data FIFO, input data for the 32-bit, output data can be configured as 4-1 arbitrary bit. There are design files and testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:5198
    • 提供者:keven
  1. MIPS

    2下载:
  2. 组成原理大作业--基于MIPS的运算器设计,内附详细设计文档,包含设计文档和使用手册,主程序,测试程序,还有设计的框图等。实现了可以执行基本的MIPS有关运算器相关的指令共17条,用Verilog编写。-Composition Principle big operation- based on the MIPS computing design, containing a detailed design document, including design documentation and u
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-12-14
    • 文件大小:3060536
    • 提供者:da
  1. canbus

    0下载:
  2. CAN总线的FPGA实现,用Verilog编写,代码完整,而且有很完善的测试代码,用ISE直接打开,学习FPGA进阶的好项目-CAN Bus FPGA, written with Verilog, code integrity, but also very good test code, using ISE directly open, a good project to learn advanced FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:862994
    • 提供者:张小琛
  1. fir_lms

    0下载:
  2. 一个不错的关于lms算法的verilog代码,算然只有两级,但是对了解lms用HDL描述有很好的理解作用。希望对大家有用~-A good lms algorithm on the verilog code, development environment, I can not find, even if the vhdl it! We hope to be useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1334
    • 提供者:onion
  1. 8051IP_Verilog

    0下载:
  2. 8051核,verilog实现。可以直接用在FPGA中,在此基础上可以和用真正的8051一样的进行单片机的学习。-8051 Nuclear, verilog achieve. Can be directly used in the FPGA, in this basis can be used as the real conduct of the 8051 single-chip learning.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:310012
    • 提供者:caibaiyin
  1. DDR3

    0下载:
  2. DDR3控制器,基于Altera平台,修改管教后直接可以下载进PFGA-DDR3 controller, based on Altera platform, modify the discipline can be downloaded directly into the PFGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:559502
    • 提供者:andy
  1. rs232

    0下载:
  2. 完整的RS232 Verilog源代码,支持波特率可调,支持调试命令,配合串口调试工具,可作为FPGA开发中的调试平台。-Full RS232 Verilog source code, support for baud rate is adjustable to support debugging command, with the serial debugging tools can be used as the debugging FPGA development platform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:13350
    • 提供者:弘历
  1. ssram

    1下载:
  2. 同步静态RAM读写程序,可用作模块,已通过ISE12.4验证-Synchronous Static RAM read and write procedures, can be used as modules, have been verified by ISE12.4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1515
    • 提供者:koo
  1. speech

    0下载:
  2. 用verilog HDL实现自相关算法! RTL级可综合代码! 通过modelsim5.6仿真和quartusii7.1综合!-Verilog HDL using auto-correlation algorithm to achieve! RTL-level code can be integrated! Through simulation and modelsim5.6 integrated quartusii7.1!
  3. 所属分类:Speech/Voice recognition/combine

    • 发布日期:2017-03-29
    • 文件大小:3363
    • 提供者:ji
  1. TS_Process_V1.0

    1下载:
  2. DVB 加扰软件,对TS流文件进行加扰,符合DVB标准-it s a dvb scramble software . it can scrambling ts stream file ,which apply for dvb standards
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2014-07-09
    • 文件大小:80876
    • 提供者:19869142
« 1 2 3 45 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com