CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - can verilog

搜索资源列表

  1. i2c

    0下载:
  2. 大公司解禁的I2C相关的verilog代码。可以被综合。-Large companies lifted the I2C Verilog code. Can be integrated.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:97430
    • 提供者:fx10001
  1. led_seq_demo

    0下载:
  2. 跑马灯的打包verilog程序,包括v和ucf,以及能直接下载的xise文件-The Marquee verilog program package, including v and ucf, and can be downloaded directly xise file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:166319
    • 提供者:betty
  1. filter_dds_10.29_7.2

    0下载:
  2. 一个经过处理的FIR filter, verilog HDL实现在FPGA上-One new design of digital FIR filter , which can be implemented in FPGA kit
  3. 所属分类:Graph program

    • 发布日期:2017-05-04
    • 文件大小:1032835
    • 提供者:chen
  1. can_verilog_IP.tar

    0下载:
  2. 运用Verilog语言编写的CAN控制IP核,符合CAN2.0B协议,仅作为参考!-CAN controller IP core using Verilog language, in line with CAN2.0B agreement, only as a reference!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1170915
    • 提供者:张居林
  1. IVerrilog_HDDn

    0下载:
  2. Verilog HDL入门,学习习的最好参考资料,能极短的时间内学会 -Verilog HDL entry, learning the best reference for learning, can be a very short period of time to learn to
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-17
    • 文件大小:191906
    • 提供者:察觉
  1. klc_iic

    0下载:
  2. 基于I2C接口的的从机verilog代码,带子地址的发送方式,已下FPGA板子调通,大家可以借鉴。-Based on the I2C interface of the Verilog code, the tape address to send the next FPGA board tune pass, we can learn from.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1651
    • 提供者:lily
  1. Multiplier16

    1下载:
  2. 本文设计了一种可以实现16位有符号/无符号二进制数乘法的乘法器。该乘法器采用了补码一位乘(Booth算法), 简化了部分积的数目, 减少了某些加法运算,从而提高了运算速度。该乘法器利用Verilog代码实现,通过Modelsim软件对相应的波形进行仿真验证,并通过QuartusII软件对源码进行编译综合。-This paper designed a 16 signed/unsigned binary number multiplication of the multiplier can be a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5754546
    • 提供者:hxy
  1. 011-clk_div_pro

    0下载:
  2. verilog写的一个分频器,利用控制字累加方式,经测试可用-verilog to write a crossover, the control word can be used incrementally, tested
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2334688
    • 提供者:Lawrence
  1. 012-fre_tst

    0下载:
  2. verilog写的频率计,利用在一周期内计数方式,测试可用,500KHZ以上误差大-verilog to write the frequency meter, the test can be used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:7077974
    • 提供者:Lawrence
  1. ROM_RTL

    0下载:
  2. Verilog Source File In the Quartus10.0 can be run this source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:42445
    • 提供者:LeeGangCheng
  1. MIPS_final-version

    0下载:
  2. 以Verilog所撰寫的Booth’s Algorithm Multiplier,可加到NiosII CPU之上,完成一道NiosII CPU的新指令。-Written by Verilog Booth,' s Algorithm Multiplier can be added to the above NiosII CPU to complete a the Nios II CPU command.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:9105
    • 提供者:Brandon
  1. S6_LCD_V

    0下载:
  2. 学习LCD的程序,可以运行,对学习verilog很有帮助。-Learning the procedures of the LCD, you can run, very helpful for learning verilog.
  3. 所属分类:source in ebook

    • 发布日期:2017-04-10
    • 文件大小:1197541
    • 提供者:李雷
  1. S11_USB

    0下载:
  2. 学习USB编程,可以运行,对学习verilog很有帮助-Learning the USB programming, you can run, be helpful to learn verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1691858
    • 提供者:李雷
  1. T6_SRAM

    0下载:
  2. 学习SRAM编程,可以运行,对学习verilog很有帮助-Learning SRAM programming, you can run verilog helpful in learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1875533
    • 提供者:李雷
  1. Mstateei

    0下载:
  2. 米勒解码器的状态转换模块。用verilog语言编写写,ISE为开发环境 ,经测试可直接使用。 -Miller decoder state transition module. Verilog language writing, ISE development environment has been tested and can be used directly.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-16
    • 文件大小:275594
    • 提供者:guowai
  1. signal_generator

    0下载:
  2. 信号发生器 可以通过该程序产生对应的波形 用Verilog语言编写实现 希望能对大家有帮助-The signal generator can generate through the program corresponding to the waveform using the Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:429151
    • 提供者:于梦磊
  1. clock_2

    0下载:
  2. verilog hdl 时钟程序,数码管显示,并可设置闹钟-verilog hdl clock program, the digital display, and can set the alarm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1057838
    • 提供者:sujy
  1. demo110

    0下载:
  2. 状态机,检测状态110,小演示程序,可直接运行,verilog hdl-State machine, the detection state 110, a small demo program can be run directly, verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:957
    • 提供者:
  1. dds_project

    0下载:
  2. DDS直接数字频率合成器,能产生正弦波,方波,锯齿波,三角波四种波形,同时能在12864上显示波形类型和频率,用FPGA verilog实现的-DDS direct digital frequency synthesizer can produce sine, square wave, sawtooth wave, triangle wave four waveform, while in the 12864 on display the waveform type and frequency
  3. 所属分类:software engineering

    • 发布日期:2017-06-20
    • 文件大小:31589376
    • 提供者:邹雪峰
  1. BVerilog_examo

    0下载:
  2. 关于FPGA的书籍,介绍了大量的Verilog实例例,对初学者很有帮助 ,经测试可直接使用。 -FPGA book introduces the Verilog instance cases, useful for beginners, has been tested and can be used directly.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:113684
    • 提供者:hehe88999
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com