CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - clock vhdl

搜索资源列表

  1. dig_clk

    0下载:
  2. 实现vhdl数字钟 实现时分秒调时 消抖等功能 采用quartus编程实现 -digital clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:920257
    • 提供者:钱春雷
  1. SIG_CLK

    0下载:
  2. 四分频,四个相位的时钟输出,FPGA,vhdl,xilinx-Divided by four, four-phase clock output, FPGA, vhdl, xilinx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:928
    • 提供者:lal
  1. clock_end

    0下载:
  2. 基于Quartus II 9.0 的电子时钟,用VHDL语言编写,显示时钟,星期等,可以调整。-Quartus II 9.0-based electronic clock, using VHDL language, display clock, week, etc., can be adjusted.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:991072
    • 提供者:严科
  1. Divider

    0下载:
  2. VHDL代码实现分频器设计 分频器系统时钟20万分频 上升沿触发-VHDL code Divider Design The system clock frequency divider 20 extremely Rising edge triggered
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2301
    • 提供者:123456789
  1. cnt60

    0下载:
  2. 60秒加一计数器,实现0到59秒计时。可以参照此例编写一个FPGA时钟,代码用VHDL编写。开发环境为quertues ii9.1.-60 seconds with a counter, to achieve 0 to 59 seconds. Can refer to this case to write a FPGA clock, the code written in VHDL. Development environment for quertues ii9.1.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:172488
    • 提供者:Ronge
  1. delay

    0下载:
  2. VHDL代码,源用与两路DDS之间的相位差,现可用于产生相位差可编程的1m时钟,精度可精确到0.01分。输出两路时钟,带起始控制位-VHDL code, source with the phase difference between the two DDS, can now be used to produce 1m phase programmable clock accuracy can be accurate to 0.01 points. Output two clocks with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1135
    • 提供者:houjiajun
  1. test_clkgen

    0下载:
  2. Test Clock Generator. You can learn how to implement test clock generator in VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:815
    • 提供者:salih
  1. DivFrec

    0下载:
  2. Employ IP cores in VHDL to describe some functions Module digital clock manager , in this case to create a frequency divider
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1603
    • 提供者:Mario
  1. projectaq1.cr

    0下载:
  2. Write VHDL specifications for an eight bit twisted ring counter based on each of the designs in the previous problem. Look at the synthesis report generated by the design tools (use the Spartan 2 xc2s15-cs144-6 part for this). How many fli
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:44643
    • 提供者:john
  1. digi_cpld_lcd

    0下载:
  2. Digital clock implementation using VHDL-Digital clock implementation using VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1943
    • 提供者:Navnath
  1. Lab1~3

    0下载:
  2. 此為VHDL之暫存器、栓鎖器、三態匣、計數與除頻電路以及時脈產生電路-This is a register of VHDL, Latch, tri-state box, count divider circuit and clock generator circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2225925
    • 提供者:
  1. mathtime

    0下载:
  2. 数字时钟maxplusii的实现,融合了VHDL与数字电路的内容,可自己添加一些自己想要的比如说彩灯,正点报时等功能-Digital clock maxplusii implementation combines the contents of VHDL and digital circuits, some of you want to add your own lantern, punctual timekeeping functions, for example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:270736
    • 提供者:Yanzi
  1. TransfData

    0下载:
  2. 用于FPGA发送数据,采用VHDL语言编程,采用16位fifo发送,内涵时钟、复位、使能信号-FPGA is used to send data, using VHDL language programming, using 16 fifo sent connotation clock, reset, enable signal
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:1288
    • 提供者:王强
  1. UART_FPGA

    0下载:
  2. 此vhdl程序实现了在FPGA上构建UART通信串口。分为两部分,UART的发送端transfer和接收端receiver。需要外部根据需求提供波特率时钟。-This program implements the building vhdl UART serial interface on the FPGA. Divided into two parts, UART transfer sender and receiver receiver. Required to provide the ba
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4183
    • 提供者:lmy
  1. vga

    0下载:
  2. vga This details a VGA controller component that handles VGA signal timing, written in VHDL for use with CPLDs and FPGAs. Figure 1 illustrates a typical example of the VGA controller integrated into a system. As shown, the VGA controller requires a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:219269
    • 提供者:jiang nan
  1. digital_clk

    0下载:
  2. VHDL Code for a digital bit clock counter and 7 segment display clock on a altera DE2 board with a cyclone II FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:949863
    • 提供者:Casey
  1. music_player

    0下载:
  2. 音乐播放器,各模块使用VHDL写的,拥有暂停功能。jishu模块根据时钟信号产生八位递增的地址信号,传到music模块。music模块存放音乐的数据,根据得到的地址输出音阶。tonetab接收到音阶信号后会输出当前的音阶是多少,是否为高八度,用于数码管显示,同时将此音阶需要的分频率传给speaker模块。speaker模块根据接受到的分频比对2M的时钟进行分频,然后送给蜂鸣器发出声音。-Music player, each module written in VHDL, with pause f
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1419569
    • 提供者:马梁
  1. shuzizhong

    0下载:
  2. 基于CPLD的智能数字时钟VHDL设计,能实现时钟、秒表、闹钟、定时等功能-ntelligent digital clock CPLD VHDL-based design enables the clock, stopwatch, alarm clock, timer, and other functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:411377
    • 提供者:Steve
  1. shuzizhong

    0下载:
  2. 在ise平台上用VHDL语言实现数字钟,具有计时和重置时间功能、整点报时功能、闹钟功能,每个功能都使用元件例化的方法,通过顶层文件将每一个模块联系在一起。-On ise platform using VHDL digital clock with timer and reset the time function, the whole point timekeeping function, alarm clock function, each function using the compone
  3. 所属分类:Other systems

    • 发布日期:2017-04-27
    • 文件大小:265576
    • 提供者:陈杨
  1. fft_512

    0下载:
  2. 采用Xilinx提供的VHDL FFT ip核实现512点FFT,可以实现使能控制、时钟控制等功能-Using Xilinx provides VHDL FFT ip core to achieve implementation of 512 points FFT, with enable control, clock control and other functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6609166
    • 提供者:Horace Sun
« 1 2 ... 40 41 42 43 44 4546 47 48 »
搜珍网 www.dssz.com