CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - clock vhdl

搜索资源列表

  1. yiweijicunqi

    0下载:
  2. 使用并置“&”法写出通用移位寄存器的VHDL模型。在时钟控制下将输入数据寄存,在满足输出条件时输出数据。-Use and set & method common shift register to write VHDL models. Under clock control the input data registers, the output data in the output condition is satisfied.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:852937
    • 提供者:陈睿祺
  1. DD

    0下载:
  2. This file is the VHDL code for controlling the stepping motor. The clock driving the stepping motor driver module. through signal it can control the direction of the stepping motor.-This file is the VHDL code for controlling the stepping motor. The c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:298585
    • 提供者:parksehun
  1. cntr_4bit

    0下载:
  2. This the 4 bit VHDL CODE which is a synchronous clock divider is added to provide the delay ot this circuit-This is the 4 bit VHDL CODE which is a synchronous clock divider is added to provide the delay ot this circuit
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:740
    • 提供者:SK MOHAMMAD
  1. clockdiv

    0下载:
  2. Clock division implementation on verilog VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:896
    • 提供者:farrukh
  1. i2cBUS

    0下载:
  2. Altera的I2C总线FPGA程序,内有详细使用说明- The I2C Controller is available in VHDL and is optimized for the Altera® APEX™ , Stratix® , and Cyclone™ device families. All of the register addresses are defined as constants in the VHDL source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2253027
    • 提供者:我是谁
  1. ELECTRONICCLOCK

    0下载:
  2. VHDL语言设计的电子钟,并且有暂停功能和清零功能的按键实现,并且带秒表-VHDL language design electronic clock, and there is a pause function and achieve clear function buttons, and with stopwatch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5796
    • 提供者:安迪
  1. sin

    0下载:
  2. 用VHDL语言编写实现以下功能:用PLL,复位器,分频器,同步时钟,计数器来产生正弦波,再在其上加扰,用FIR滤波器进行滤波整形,最后得到输出。-Using VHDL language to achieve the following functions: PLL, reset, clock synchronization, frequency divider, counter to generate sine wave, and then scrambling on the filter sh
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:6779780
    • 提供者:猪头
  1. ClockQUARTUSVHDL

    0下载:
  2. 12/24小时数字时钟VHDL设计 包括顶层文件的设计和VHDL源程序-12/24 hour digital clock design, including the top-level VHDL design and VHDL source code file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:315232
    • 提供者:
  1. example7_jtd

    0下载:
  2. VHDL实现交通灯,通过分频操作实现对灯的控制和延时,运用的多种分频时钟来控制进程。-VHDL to achieve traffic lights, through the frequency control and the frequency of the lamp control and delay, the use of a variety of frequency control clock to control the process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:27934
    • 提供者:张琼
  1. shuzizhong3

    0下载:
  2. 数字钟VHDL软件设计,包含多种功能,报时,12,24切换,调时-The design of VHDL digital clock software, including a variety of functions, timer, 12,24 switch, adjustable
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-05-09
    • 文件大小:1694664
    • 提供者:李佳逸
  1. Control_AD9516

    0下载:
  2. 时钟芯片AD9516配置代码,VHDL开发,可仿真验证-Development, clock chip AD9516 configuration code, VHDL simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1313
    • 提供者:刘阳河
  1. Fibonacci

    0下载:
  2. (1) clkdiv 模块:对50MHz 系统时钟 进行分频,分别得到190Hz,3Hz 信号。190Hz 信号用于动态扫描模块位选信号,3Hz 信号用于fib 模块。 (2) fib 模块:依据实验原理所述Fibonacci 数列原理,用VHDL 语言实现数列 (3) binbcd14:实现二进制码到BCD 码的转换,用于数码管显示。 (4) x7segbc:采用动态扫描,使用4 位数码管依次显示Fibonacci 数列数据。 实验采用3Hz 频率来产生Fibonacci
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:667644
    • 提供者:panda
  1. count

    0下载:
  2. 本实验利用VHDL 硬件描述语言设计一个0~9999 的加法计数器。根据一定频率的触发 时钟,计数器进行加计数,并利用数码管进行显示,当计数到9999 时,从0 开始重新计数。 SW0 为复位开关。当开关拨至高点平时,计数器归0,当开关拨至低电平时,计数器开始计数。 该电路包括分频电路,计数器电路,二进制转BCD 码电路和数码管显示电路。-This experiment uses VHDL hardware descr iption language to design a 0 ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:475404
    • 提供者:panda
  1. FPGA_exp2

    0下载:
  2. 调节数码管显示的文件,适用于CYCLONE II 开发板, 用VHDL语言编写,非常适合移植进数字钟中以实现调节时间的功能。 多模块设计简单明了。-Adjust digital display files for CYCLONE II development board, using VHDL language, it is very suitable for transplantation into digital clock to realize the function of regula
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-15
    • 文件大小:6803456
    • 提供者:陈俊奕
  1. code

    0下载:
  2. vhdl code which includes various codes of clock divider uart lcd etc
  3. 所属分类:DSP program

    • 发布日期:2017-12-14
    • 文件大小:2028132
    • 提供者:devi
  1. Eclock

    0下载:
  2. Xilinx实现电子时钟功能,具有调整时间功能,设置闹钟功能,闹钟播放音乐等,非常适合VHDL入门。-Xilinx implement electronic clock function, have adjustment time function, set the alarm function, the alarm to play music, ideal for entry VHDL.
  3. 所属分类:Document

    • 发布日期:2017-12-12
    • 文件大小:1517633
    • 提供者:dong sun
  1. visualitzador7segments-20170516T144823Z-001

    0下载:
  2. Code in VHDL of a segments visualizer (used for a clock)
  3. 所属分类:Education soft system

    • 发布日期:2017-12-13
    • 文件大小:108734
    • 提供者:hola45
  1. contador_v4-20170516T144731Z-001

    0下载:
  2. VHDL for a counter used in a clock.
  3. 所属分类:Education soft system

    • 发布日期:2017-12-11
    • 文件大小:588974
    • 提供者:hola45
  1. counter (2)

    0下载:
  2. This tutorial introduce VHDL code for clock pulse and 4-bit counter. With four bits, the counter count from 0 to 15. The timing of the counter is controlled by a clock signal. There will be a clear signal which can reset the counter value.
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:1024
    • 提供者:tariq
  1. kebenchengxu

    0下载:
  2. VHDL代码,一些课本的小程序。包含3线-8线译码器,4选1选择器,6层电梯,8线-3线编码器,8线-3线优先编码器,8选1,BCD-7段显示译码器真值表,半加器,摩尔状态机,数字频率计,数字时钟,同步计数器,序列检测器的设计,序列信号发生器,一般状态机等等。(The small program of some textbooks. Includes 3 -8 decoder, 4 1 selector, 6 elevator, line 8 Line 8 line -3 encoder, -3
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:40960
    • 提供者:girl_lily
« 1 2 ... 42 43 44 45 46 4748 »
搜珍网 www.dssz.com