CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld fpga

搜索资源列表

  1. fpgashuzilvbo

    0下载:
  2. FPGA&CPLD数字电路设计 数字滤波电路 设计-fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:581202
    • 提供者:wuqing
  1. sram_controller

    0下载:
  2. sram 控制器的三种实现方案,来自xinlix工程师之手,不可多得-sram controller implementation of the three programs, from the hands of engineers xinlix, rare
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:6410
    • 提供者:刘太联
  1. PLD_FPGA_development_software

    0下载:
  2. 这个文档介绍了目前绝大部分的FPGA/CPLD设计软件,并对每个软件做了简要的介绍。大家在学习前看看,对于设计软件的选择将有极大帮助。-This document describes the current most of the FPGA/CPLD design software, and each software to do a brief introduction. Look at everyone before the study, the design software will g
  3. 所属分类:software engineering

    • 发布日期:2017-04-29
    • 文件大小:60821
    • 提供者:张芸
  1. ISE7.1i_course

    0下载:
  2. ISE7.1i 中文教程 适合xilinx的FPGA/CPLD用户-Chinese ISE7.1i the xilinx tutorial for FPGA/CPLD users
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:277301
    • 提供者:vichie
  1. DECODER

    0下载:
  2. decoder3_8实现了FPGA或CPLD 实现3-8译码器的功能-decoder3_8 to achieve the realization of the FPGA or CPLD decoder functions 3-8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:16956
    • 提供者:yigezi
  1. VHDL_Hardware_Language

    0下载:
  2. vhdl硬件描述语言,对于进行FPGA、CPLD开发的人来说比较有用。-vhdl hardware descr iption language is fundamental to the FPGA, CPLD development of more useful people.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:7932082
    • 提供者:qiuxiaoxiang
  1. SY10

    0下载:
  2. 本文介绍了乐曲演奏电路的设计与实现中涉及的CPLD/FPGA可编程逻辑控件,开发环境MAX+PLUSⅡ,硬件描述语言HDL以及介绍了在MAX+PLUSⅡ的EDA 软件平台上, 一种基于FPGA 的乐曲发生器的设计方法, 并给出了设计的顶层电路图和底层模块的VHDL(或AHDL)源程序。该设计的正确性已通过硬件实验得到验证。 -The musical performance circuit’s design and implement Abstract: This paper introd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:307323
    • 提供者:guo
  1. VHDL_FPGA_FILTER

    0下载:
  2. 用VHDL语言设计基于FPGA器件的高采样率FIR滤波器,基于VHDL与CPLD器件的FIR数字滤波器的设计-Design using VHDL language FPGA devices based on high sampling rate FIR filter, based on VHDL and CPLD devices, the design of FIR digital filter
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:913199
    • 提供者:玉玲
  1. FPGACPLDDigitalCircuitDesign

    0下载:
  2. FPGA & CPLD Digital Design Experience Sharing in Chinese
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1455203
    • 提供者:SoSo
  1. flash_loader_II_for_2c20

    0下载:
  2. FLASH_LOADERII是cpld配置fpga的程序。运行在quartus60环境下。-Fpga configuration FLASH_LOADERII is cpld procedures. Run on quartus60 environment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:874617
    • 提供者:刘飞
  1. 325

    0下载:
  2. CPLD下载线制做资料,本人已经根据此制作成功,能够下载,并且有一款很不错的FPGA系统版-CPLD download line information system to do, I have produced based on this success, can be downloaded and there is a very good version of the FPGA system
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-07
    • 文件大小:801367
    • 提供者:雪的孩子
  1. Logic

    0下载:
  2. 本设计采用嵌入式操作系统以及CPLD实现了对8路逻辑信号的发生、采集、触发、显示等功能-FPGA/CPLD
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:116643
    • 提供者:cgsljl
  1. ISE

    0下载:
  2. 介绍Xilinx公司FPGA/CPLD的集成开发环境——ISE软件的简单使用,该软件环境集成了FPGA的整个开发过程所用到的工具。主要介绍了用VHDL、VerilogHDL、原理图以及用ModelSim 仿真工具对设计进行功能仿真和时序仿真以及将数据流文件加载到FPGA等方面的内容。-Xilinx Inc. introduced FPGA/CPLD integrated development environment- ISE software simple to use, the softwa
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-27
    • 文件大小:825615
    • 提供者:shu
  1. QBB_SMALL_CPLD-32X512--2009-09-04

    0下载:
  2. 实现大型LED屏显示的CPLD程序,对FPGA学习很有帮助-To achieve large-scale LED screen display of the CPLD program, very helpful for learning FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1364733
    • 提供者:赵维
  1. xilinx_design_flow

    0下载:
  2. Xilinx Design Flow Device capabilities are worthless if you can’t use them in YOUR course • Design software should support all ranges of designs from CPLD to the high-density FPGA • Works with YOUR design flow – minimize impacts
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:345195
    • 提供者:alex
  1. testbeach

    0下载:
  2. 适用于cpld或fpga,产生多种频率波形,-Apply to cpld or fpga, generate multiple frequency waveform,
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:926
    • 提供者:王建昌
  1. flash_player-2008920201813708

    0下载:
  2. 实现wav解码,用VHDL编写,在quarters下运行,用于FPGA,CPLD稍作修改也可用-Wav decoder implementation using VHDL written to run in the quarters for the FPGA, CPLD some slight modifications can also be used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:87676
    • 提供者:jackk
  1. Altera_Device_Package_Information

    0下载:
  2. Altera 全部型号的FPGA及CPLD的配置指南,做PCB和FPGA开发人员参考较好-Altera all model FPGA and CPLD configuration guide, PCB and FPGA developer to do a better reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3384272
    • 提供者:xinmuwang
  1. FPGACPLDicsetup

    0下载:
  2. 这个是快速设置fpga和cpld的方法和注意的事项,比较有用,学习fpga和cpld的朋友可以看一看。-this is a setup for fpga and cpld.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:737318
    • 提供者:杨葱头
  1. MAXII

    0下载:
  2. MAX II EPM7000 系列 FPGA CPLD 芯片的使用手册,仅供参考,-MAX II EPM7000 Series FPGA CPLD chip user manual for reference purposes only
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:613704
    • 提供者:陈小明
« 1 2 ... 13 14 15 16 17 1819 20 21 22 23 ... 27 »
搜珍网 www.dssz.com