CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld verilog

搜索资源列表

  1. code

    0下载:
  2. CPLD驱动VGA显示器的VERILOG源代码.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:237165
    • 提供者:xuhong
  1. hdb3

    1下载:
  2. hdb3的发送端源代码,采用verilog可综合格式书写。已经在多款fpga和cpld芯片成功综合实现。
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:1056
    • 提供者:frankey
  1. cpci1

    0下载:
  2. 针对多DSP 共享总线的通用信号处理板卡, 介绍了基于PCI9054 和CPCI 总线的接口设计, 分析了通用WDM总线驱动程序的开发。采用Verilog HDL 用CPLD 设计控制时序实现了DSP 和 CPCI 总线桥接器PCI9054 之间的普通传输和高速DMA 传输。驱动程序采用DriverWorks 和Windows 驱动开发包DDK 进行开发, 具有很好的通用性和可移植性。
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:223669
    • 提供者:都上课
  1. clkgen

    0下载:
  2. 用最少的CPLD资源,用Verilog在QuartusII7.1上实现的1280分频.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:612630
    • 提供者:pc repair
  1. VerilogHDL_alarmclock

    3下载:
  2. 采用Verilog HDL语言编写的多功能数字钟,包括四个功能:时间显示与设置、秒表、闹钟、日期显示与设置,源代码对FPGA和CPLD学习者价值很高,
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-18
    • 文件大小:3252729
    • 提供者:廖耿耿
  1. CCD_Verilog_1014

    1下载:
  2. 基于CPLD器件的线型CCD东芝TCD1501的驱动程序,用verilog语言开发。
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:1944
    • 提供者:zhphan
  1. veriloghdl

    0下载:
  2. 来自精益求精的德国人讲授的VERILOG课件,想接触FPGA/CPLD开发的人是必看的课件。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4944768
    • 提供者:王方
  1. 8080

    2下载:
  2. EPM1270和单片机的8080通讯接口,适合单片机与CPLD之间的高速通讯,verilog语言,QuartusII环境
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:483315
    • 提供者:汉武帝
  1. i2c_Sample

    1下载:
  2. verilog在cpld上实现i2c主从设备通讯功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:718987
    • 提供者:nedazq
  1. DTXS

    0下载:
  2. Verilog HDL编写的四位数码管动态显示程序,外围电路用CPLD来实现
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:852
    • 提供者:yangytp
  1. cpld_quartus50_over

    0下载:
  2. 通过VERILOG HDL语言使用CPLD连接PS2键盘.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2039661
    • 提供者:王首浩
  1. an485_design_example

    0下载:
  2. AN485_CH-MAX II CPLD 中的串行外设接口主机(verilog SPI)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:312581
    • 提供者:zhiqiang
  1. uart

    2下载:
  2. 采用CPLD实现串口通信(Verilog硬件描述语言)
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:5106
    • 提供者:wuzhidong
  1. maxII_verilog_ps2

    0下载:
  2. verilog语言在maxII的cpld芯片上实现ps2功能源代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:479057
    • 提供者:nedazq
  1. FT245BM

    0下载:
  2. 这是一个在MAX II CPLD利用FT245BM 模块实现USB传输的读写程序,用的是Verilog HDL语言
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:975764
    • 提供者:杨林成
  1. i2c-bus_verilog

    1下载:
  2. i2c总线的CPLD程序,是用verilog语言编写的,直接添加进去就可以用了。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:537776
    • 提供者:汪学军
  1. CPLDtemperatureinthefiredetectionsystemof

    0下载:
  2. 介绍了光纤光栅感温火灾探测系统的应用原 理,并重点阐述了用CPLD 设计虚拟MC14499 器件模 块,给出并解释了用Verilog HDL 语言实现的部分程 序和仿真测试结果。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:345673
    • 提供者:hjh
  1. counter

    0下载:
  2. 基于CPLD的计数器 实现光纤测距,包含与单片机的时序控制 Verilog 实现 通过仿真
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:2768
    • 提供者:强冰
  1. LS154OC

    0下载:
  2. 就是个译码器,用cpld实现的译码器是verilog写的
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-21
    • 文件大小:1395
    • 提供者:沈洁
  1. fpga1394

    0下载:
  2. 这是一段控制1394芯片的cpld的verilog程序,可以参考,在实际项目中已经采用.-This is a control chip cpld 1394 Verilog the procedures, they can refer to the actual project has been adopted.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3394
    • 提供者:吴才路
« 1 2 3 4 5 67 8 9 10 11 »
搜珍网 www.dssz.com