CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpu,VHDL

搜索资源列表

  1. cpu16

    0下载:
  2. 一个16位cpu的vhdl代码。具体内容我也不清楚,自己慢慢研究吧-a 16 cpu of VHDL code. Specific content is not clear to me that their study it slowly
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3121
    • 提供者:王林
  1. 数字系统设计教程4_9

    0下载:
  2. vhdl的几个编程,4位除法器的设计和原理说明,还有8位CPU设计-VHDL programming, the four division and the design principle that there are eight CPU Design
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:244725
    • 提供者:刘建
  1. tbcpu8bit2

    0下载:
  2. 极小的CPU的VHDL源代码,仅需要占用32个宏单元的CPLD。除了VHDL源代码还包括了汇编器的C源代码-minimal CPU VHDL source code, only occupy 32 macrocell CPLD. Apart from VHDL source code also includes a compilation of C source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:206008
    • 提供者:冰激凌
  1. cpu

    0下载:
  2. 设计一个简化的处理器(字长8位),并使其与内存MEM连接,协调工作。用VHDL以RTL风格描述。该处理器当前执行的指令存放在指令寄存器IR中。处理器的指令仅算逻指令和访问内存指令)。-Design a simplified processor (8-bit word length), and connect it with the memory MEM, and coordination. Described with VHDL in RTL style. The processor is c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4740271
    • 提供者:jinxf
  1. cpu-design

    0下载:
  2. VHDL设计的一个可综合的精简指令集的CPU,加上外围模块,类似与51单片机,当然还缺少很多功能,只是雏形,供大家交流-VHDL design of an integrated RISC CPU, coupled with external modules, exhausted and 51 single-chip, of course, the lack of many features, but prototype for all to share
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2879338
    • 提供者:lzy
  1. mips-cpu

    2下载:
  2. 单周期的mips处理器设计,用vhdl语言实现各个模块的功能-Single-cycle mips processor design, using vhdl language functions of each module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:117471
    • 提供者:王晓强
  1. cpu

    0下载:
  2. 基本功能的cpu,自定义内存内容~了解CPU运作原理~-design of cpu,VHDL environment~
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-08
    • 文件大小:1191
    • 提供者:王亦品
  1. CPU_16

    0下载:
  2. vhdl实现cpu,在实验台上模拟访存,实现简单的四则运算以及跳转-a cpu by vhdl and used on table
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2232979
    • 提供者:土豆
  1. CPU-with-VHDL-16-32

    0下载:
  2. 在quartus中运行的32位指令集的16位CPU程序,模块化设计,包括MBR, BR, MR, ACC, MAR, PC, IR, CU, ROM, RAM, ALU等模块-In the the quartus run 32 16-bit CPU instruction set procedures, modular design, including the MBR, BR, MR, the ACC, the MAR, the PC, the IR CU, the ROM, RAM, ALU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1651752
    • 提供者:
  1. CPU

    0下载:
  2. 基于VHDL语言的简单CPU,实现简单的加、减、乘-VHDL language based on the simple CPU, to achieve a simple addition, subtraction, multiplication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1137
    • 提供者:肖勇
  1. CPU

    2下载:
  2. 在THINPAD平台上的50M时钟5级流水支持THCOMIPS指令集的CPU,并附带8核扩展,内有详细实验报告。全部用VHDL编写,并附有样例验证程序,开发环境为ISE 14.1。-Water support THCOMIPS instruction set CPU 50M clock the THINPAD platform 5 and comes with an 8-core extension, within a detailed test report. All written usin
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2015-11-14
    • 文件大小:3151872
    • 提供者:莫涛
  1. CPU

    0下载:
  2. CPU设计时间报告,VHDL含有详细代码,下载到实验台后能用-Can be used after the the CPU design time report, VHDL contains detailed code downloaded to the bench
  3. 所属分类:Project Design

    • 发布日期:2017-11-30
    • 文件大小:883558
    • 提供者:songsicong
  1. TEST-CPU-2

    0下载:
  2. 基于VHDL语言的微指令控制的CPU,16位地址线-VHDL language based on the microinstruction control of the CPU, 16-bit address lines
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:3225846
    • 提供者:Zhiheng Shen
  1. cpu

    0下载:
  2. 本代码主要通过VHDL语言描述了一个CPU,包含了MAR,MBR,PC,BR,ALU,ACC等一系列寄存器。-The code is mainly described by VHDL language a CPU contains a series of MAR, MBR, PC, BR, ALU, ACC register.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:2857
    • 提供者:yangchen
  1. cpu

    0下载:
  2. 用vhdl实现了具有流水的cpu,实现30条基于mips指令的指令集-Achieved with vhdl cpu with water, to achieve 30 mips instruction based instruction set
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:816054
    • 提供者:梁立建
  1. CPU

    0下载:
  2. 我是2014级复旦的研究生。这是一个8位的CPU设计VHDL实现。本CPU基于RISC架构,实现了cpu的基本功能如:加减乘除运算,跳转等。此外,里面有一个17位的ROM区,是存储指令的。你可以写出一段17位的指令代码,并放入ROM区,该CPU即可自动运行出结果。压缩包里是源代码和我们当时的设计要求。本源代码的最后调试时在地址0 17是放入的斐波纳契数字(Fibonacci Numbers)指令。通过modelsim仿真即可看到结果。-I am a 2014 graduate of Fudan
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:520165
    • 提供者:ljt
  1. 8bit-cpu

    0下载:
  2. VHDL由简单存储器,计数器等搭建最终实现8位的cpu设计-VHDL realization 8 of cpu design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:13276
    • 提供者:ZY
  1. cpu

    0下载:
  2. 一个简单实现的cpu,采用vhdl编写,适合学生学习-a simple cpu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1775373
    • 提供者:梁武恒庆
  1. lu

    0下载:
  2. 16位MIPS指令集,VHDL实现,非常简单,非常粗暴(library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;)
  3. 所属分类:微处理器开发

    • 发布日期:2018-01-07
    • 文件大小:817152
    • 提供者:nodgd
  1. CPU

    0下载:
  2. 针对硬件开发,采用VHDL编写 哈工大计算机设计与实践(Hardware development)
  3. 所属分类:软件设计/软件工程

    • 发布日期:2018-04-18
    • 文件大小:15837184
    • 提供者:hc_jc
« 1 2 3 45 6 7 8 9 10 »
搜珍网 www.dssz.com