CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpu,VHDL

搜索资源列表

  1. IP-code(8051-cpu-jtag-vga_lcd-i2c)

    0下载:
  2. ip核源码,包含8051,cpu,jtag,vga_lcd,i2c,使用vhdl语言编写,-ip nuclear source, including 8051, cpu, jtag, vga_lcd, i2c, using vhdl language,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1290156
    • 提供者:fanglong
  1. cpu-and-ram

    0下载:
  2. 这是一个用VHDL语言写的简单带存储器的CPU设计,不涉及流水线设计,只是简单的利用QUARTUES II里的ram-This is a simple memory write VHDL CPU design, does not involve the assembly line design, simply use the ram in QUARTUES II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1262910
    • 提供者:郭雅娟
  1. the-strong-cpu-design

    0下载:
  2. 增强型CPU设计,带有PC指针与存储器,用VHDL语言写的,不含流水线设计,实现二进制灯循环亮-Enhanced CPU design, with the PC pointer memory write VHDL language, non-pipelined design to achieve binary bright light cycle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1610151
    • 提供者:郭雅娟
  1. test_cpu

    0下载:
  2. 自己编的小型CPU,可执行简单的代码,作为对开发CPU的尝试。里面包含ROM和CPU。CPU通过状态机执行指令。在Modelsim中仿真通过。-Small VHDL CPU,as a example for developing CPU. It is simulated by Modelsim.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:249008
    • 提供者:123
  1. acc8

    0下载:
  2. VHDL语言设计八位加法器,可用于CPU中的加法模块,-VHDL language eight adder, adder module can be used for the CPU,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:510338
    • 提供者:wz
  1. 8weicpu

    0下载:
  2. VHDL语言设计的8位简单的CPU,可以实现包括加法,减法,移位操作,赋值,自加等十多种基本的操作-8 of the VHDL language simple CPU, you can achieve more than 10 kinds of basic operations including addition, subtraction, shift operations, assignment, since Canada
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1119166
    • 提供者:wz
  1. VHDL-cpu

    0下载:
  2. 根据计算机组成原理课程所学的知识和本课程所讲的设计思想,设计一个给定指令系统的处理器,包括:VHDL语言的实现;FPFA芯片的编程实现; -Based on the knowledge and the curriculum computer architecture course learn about design thinking, design a given the instruction system' s processor, including: the realizat
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-17
    • 文件大小:18584
    • 提供者:heyuhong
  1. cpu-leon3-altera-ep1c20

    0下载:
  2. CPU性能仿真测试软件,对于VHDL设计的芯片可以做新能测试-CPU VHDL
  3. 所属分类:OS Develop

    • 发布日期:2017-11-08
    • 文件大小:687197
    • 提供者:mackalli
  1. cpu

    0下载:
  2. VHDL编写的CPU源码,可嵌入SOPC系统开发-Prepared by the VHDL the CPU source, embeddable SOPC system development
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:315385
    • 提供者:wrk
  1. cpu

    0下载:
  2. 用VHDL写的一个cpu程序,可以在实验台上运行运行,包括各种基本的寻址方式,里面还含有每个模块的波形-Use VHDL to write a cpu program that can run on the bench run, including a variety of basic addressing modes, which also contains the waveform of each module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:2459413
    • 提供者:sherrytonger
  1. cpu_store

    0下载:
  2. VHDL语言制作CPU,8位,16条指令,能够完成多种操作. -VHDL language production CPU, 8-bit, 16 instruction, to complete a variety of operations. VHDL language with CPU, 8-bit, 16 instruction, to complete a variety of operations.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7880406
    • 提供者:zhangwei
  1. RISC-CPU-

    0下载:
  2. 用VHDL语言实现32位CPU的各种运算功能,熟悉32位CPU各模块的工作原理,熟悉流水线数据通路和控制单元的工作原理从而熟悉CPU的工作机理。-Mac circuit realization
  3. 所属分类:OS Develop

    • 发布日期:2017-05-29
    • 文件大小:11710264
    • 提供者:卓丽媛
  1. CPU_VHDL

    0下载:
  2. 这是实现一个8位和16位cpu的VHDL代码,虽然支持的指令比较少只有20多条,但对于学习CPU的布线架构很有帮助-This is achieved by an 8-bit and 16-bit cpu VHDL code, although only a relatively small instruction support more than 20, but for learning routing architecture CPU helpful
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-08
    • 文件大小:1965454
    • 提供者:SamJohnKing
  1. cpu-design

    0下载:
  2. 采用VHDL实现的CPU设计代码,工程中包含测试波形。包含CPU设计文档,如指令格式设计和各功能模块说明和指令测试序列,能下载到实验台上直接运行。-CPU design is realized by VHDL Language, the project contains the test waveform. Contains the CPU design documents, such as directives format, instructions for each function mo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1600147
    • 提供者:yuuuuuu
  1. cpu

    0下载:
  2. 基于VHDL的简易CPU设计,可以实现加、减、乘三种运算,模拟CPU的运算过程通过指令实现运算-Simple CPU design based on VHDL, three operation can realize add, subtract, multiply, simulation of the CPU operation process operation was achieved by instruction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1116741
    • 提供者:llx
  1. CPU

    0下载:
  2. 运用vhdl硬件描述语言在quartus II开发环境下独立设计与实现了基于精简指令集的五级流水线CPU的设计与实现。该流水CPU包括:取指模块,译码模块,执行模块,访存模块,写回模块,寄存器组模块,控制相关检测模块,Forwarding模块。该CPU在TEC-CA实验平台上运行,并且通过Debugcontroller软件进行单步调试,实验表明,该流水线CPU消除了控制相关、数据相关和结构相关。-Using vhdl hardware descr iption language developm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:822262
    • 提供者:wang
  1. CPU

    0下载:
  2. 使用QuartusII软件,利用VHDL语言设计实现CPU,其中包含时序图仿真。-Using software QuartusII, using VHDL language to design the CPU, which contains sequence diagram simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2550057
    • 提供者:段绍丽
  1. cpu

    0下载:
  2. vhdl实现处理器基本功能,不包括流水线-VHDL to achieve the basic functions of the processor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3563
    • 提供者:王先生
  1. CPU

    0下载:
  2. 计算机组织与结构课程设计,使用VHDL设计一个简单功能的CPU。该CPU拥有基本的指令集,并且能够使用指令集运行简单的程序。另外,CPU的控制器部分(CU)采用微程序设计方式。-The purpose of this project is to design a simple CPU (Central Processing Unit). This CPU has basic instruction set, and we will utilize its instruction set to g
  3. 所属分类:Other systems

    • 发布日期:2017-05-23
    • 文件大小:7415937
    • 提供者:马晨
  1. cpu110

    0下载:
  2. 基本功能的cpu,自定义内存内容~了解CPU运作原理~-design of cpu,VHDL environment~
  3. 所属分类:ADO-ODBC

    • 发布日期:2017-04-12
    • 文件大小:1233
    • 提供者:bs00buao2
« 1 2 3 4 5 6 7 89 10 11 12 »
搜珍网 www.dssz.com