CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpu vhdl

搜索资源列表

  1. CPU

    0下载:
  2. 使用VHDL语言实现了一个两级流水线的CPU,-VHDL language using a two-stage pipeline of the CPU,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2166385
    • 提供者:lishanshan
  1. cpu-design

    0下载:
  2. VHDL设计的一个可综合的精简指令集的CPU,加上外围模块,类似与51单片机,当然还缺少很多功能,只是雏形,供大家交流-VHDL design of an integrated RISC CPU, coupled with external modules, exhausted and 51 single-chip, of course, the lack of many features, but prototype for all to share
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2879338
    • 提供者:lzy
  1. project3

    0下载:
  2. mips single cycle cpu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3273561
    • 提供者:tran
  1. POC_all

    0下载:
  2. poc即为cpu与外部设备,比如打印机的接口,用VHDL的编程来实现poc功能的仿真-poc is the cpu with an external device, such as the printer' s interface, programming with VHDL simulation capabilities to achieve poc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:920250
    • 提供者:苏佳佳
  1. parallel-output-controller-(POC)

    0下载:
  2. 并行输出控制器,实现CPU与打印机之间的通信,程序基于VHDL语言,内附完整实验报告与仿真图像-The purpose of this project is to design and simulate a parallel output controller (POC)which acts an interface between system bus and printer. The Altera’s Quartus II EDA tool is recommended and provid
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:75216
    • 提供者:陈鹏
  1. lcdasegaled

    0下载:
  2. lcd显示 跑马灯显示 七段数码管计时 12232F是一种内置8192个16*16点汉字库和128个16*8点ASCII字符集图形点阵液晶显示器,它主要由行驱动器/ 列驱动器及128×32全点阵液晶显示器组成。可完成图形显示,也可以显示7.5×2个(16×16点阵)汉字.与外部CPU接口采用并行或串行方式控制。-lcd display Seven-Segment LED Display Marquee is a built-in timing 12232F 8192 16* 16 points
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1108387
    • 提供者:wws
  1. VHDL-cpu

    0下载:
  2. 使用vhdl 开发语言编写的 微处理器 内容比较详尽 -Developed using the language of the microprocessor vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:148844
    • 提供者:王俊
  1. zxcpu

    0下载:
  2. 用VHDL语言设计了一个含10条指令的RISC处理器。假定主存可以在一个始终周期内完成依次读写操作且和CPU同步,系统使用一个主存单元。处理器指令字长16位,包含8个通用寄存器,1个16位的指令寄存器和一个16位的程序记数器。处理器的地址总线宽度16位。数据总线宽度16位,取指和数据访问均在一跳蝻数据总线。处理器支持包含LDA,STA,MOV,MVI,ADD,SUB,AND,OR,JZ,JMP十条指令。其中仅有LDA和STA是访存指令。-VHDL language design with a R
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1075788
    • 提供者:zhaoshu
  1. cpu

    0下载:
  2. 16位的5级流水线cpu 采用vhdl代码 modelsim编译仿真-5-stage pipeline 16-bit cpu compiled simulation using modelsim vhdl code
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:4995
    • 提供者:sean
  1. VHDL--8-bit-cpu

    0下载:
  2. VHDL实现简单的8位cpu功能,该程序代码实现cpu部分功能 -VHDL simple function of the 8-bit cpu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2633
    • 提供者:邵舜德
  1. CPU-exp

    0下载:
  2. 基于VHDL编写的CPU程序,用微程序的方式实现。内含说明本程序的说明文档。-CPU program written in VHDL, with the micro-program ways.Containing the document of the program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1297793
    • 提供者:gy
  1. myowncpu

    0下载:
  2. 简单的8字CPU的VHDL实现 dat 内存测试数据-Simple CPU VHDL implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1407708
    • 提供者:阿诺·
  1. cpu

    0下载:
  2. algoritme tarahie cpu ba estefade az codhaye mojud dar ketabe mano be zabune vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:178014
    • 提供者:sina
  1. cpu

    0下载:
  2. tarahie alu ba estefade az codhaye ketabe mano be zabune vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:601
    • 提供者:sina
  1. vhdl-cpu-16-bit

    0下载:
  2. VHDL processsor 32 bit ALU SRF BUS DATA ADRESS C16 System On Chip Architecture
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:976737
    • 提供者:luis
  1. IP-code(8051-cpu-jtag-vga_lcd-i2c)

    0下载:
  2. ip核源码,包含8051,cpu,jtag,vga_lcd,i2c,使用vhdl语言编写,-ip nuclear source, including 8051, cpu, jtag, vga_lcd, i2c, using vhdl language,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1290156
    • 提供者:fanglong
  1. code-vhdl-cho-cpu

    0下载:
  2. code VHDL for Advanced Encryption Standard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1889465
    • 提供者:trong
  1. cpu

    0下载:
  2. using vhdl design cpu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:561
    • 提供者:Dung
  1. VHDL-for-Datapath

    0下载:
  2. MIPS CPU with Mulicycle Datapath. This is a custom RISC processor implemented to achieve the function of "lw, sw, add, sub, and, or, beq, j" Mem.vhd - memory buffer.vhd - buffer ALUcon.vhd - Alu controller pc.vhd - program counter REG - reg
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:7883
    • 提供者:zi
  1. simple-16-bitvhdl-cpu

    0下载:
  2. central processing unit for processor using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:3312
    • 提供者:pradeep
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 21 »
搜珍网 www.dssz.com