CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - crc校验

搜索资源列表

  1. CRC

    0下载:
  2. TD-SCDMA 编码中 C R C 校验的代码-TD encoding CRC checksum
  3. 所属分类:3G develop

    • 发布日期:2017-11-22
    • 文件大小:752
    • 提供者:米刚
  1. Ethernet

    0下载:
  2. Ethernet帧解析程序,包含CRC校验算法。计算机网络编码实验,很好的资源。-Ethernet frame analysis program contains a CRC checksum algorithm. Computer network coding experiments good resource.
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-11-29
    • 文件大小:95470
    • 提供者:LD
  1. CRC-CCITT

    0下载:
  2. LabVIEW 的串口CRC-CCITT校验程序 用于无符号字符型数据的校验码生成!-CRC-CCITT checksum program LabVIEW serial port for data unsigned char checksum generation!
  3. 所属分类:Com Port

    • 发布日期:2017-11-06
    • 文件大小:11807
    • 提供者:刘庆文
  1. LabVIEW-checksum-generated--CRC

    0下载:
  2. LabVIEW 校验码生成 用于检测串口无符号字符型数据的正确性-LabVIEW checksum generated for detecting of serial unsigned char data correctness
  3. 所属分类:Com Port

    • 发布日期:2017-11-02
    • 文件大小:11829
    • 提供者:刘庆文
  1. VB-CRC8

    0下载:
  2. CRC8校验,使用左方式实现,与手动移位完成CRC校验相一致.-CRC8 checksum, use the left to achieve complete CRC check coincides with the manual shift.
  3. 所属分类:Communication

    • 发布日期:2017-11-07
    • 文件大小:590
    • 提供者:s vb
  1. CRC

    0下载:
  2. 校验码计算大全,该程序是本人工作期间搜集了多种通讯校验码方式,为了测试方便集成为一个小测试工具,其中校验码计算部分可以直接复制出来用于你自己的程序。-The checksum calculation Guinness, I collected during a variety of communication checksum, in order to the test convenient integration into a small test tools, including the c
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-08
    • 文件大小:4141899
    • 提供者:冰山
  1. CRC

    0下载:
  2. 循环冗余校验(CRC)模块设计,包括CRC工作原理、设计原理、程序设计及分析以及仿真分析-Cyclic Redundancy Check (CRC) module design, including CRC works, design principles, program design and analysis, and simulation analysis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:156266
    • 提供者:Weimiao Cai
  1. crc16-

    0下载:
  2. 本文档描述了一种CRC校验的方法,开发语言为verilog。程序自己写的,包括测试代码。欢迎参考-This document describes a CRC checksum method development language verilog. Write their own procedures, including test code. Welcome reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:995
    • 提供者:秦艳召
  1. crc16

    0下载:
  2. 半字节CRC校验,特备适合用在速度不高的单片机上-half word crc checksum,Suitable for micro controler
  3. 所属分类:Algorithm

    • 发布日期:2017-11-27
    • 文件大小:626
    • 提供者:flyer
  1. crcxiaoyan

    0下载:
  2. crc校验用软件,写入数据后自动生成校验码-crc check software, writing data to automatically generate a checksum
  3. 所属分类:Other systems

    • 发布日期:2017-11-30
    • 文件大小:523233
    • 提供者:郭海平
  1. JCBustszs

    0下载:
  2. 串口调试助手8通道 modbus crc校验码自动加载-Assistant 8-channel serial debugging modbus crc checksum automatically loaded
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-12-02
    • 文件大小:175386
    • 提供者:王海威
  1. CRC16-1

    0下载:
  2. 改程序实现16位的CRC校验 单片机程序-CRC check
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-10
    • 文件大小:1132
    • 提供者:吕洋
  1. udp

    0下载:
  2. 基于udp协议的通信代码,含crc校验,丢包重传机制-Based on udp protocol communication code。Contains CRC check, packet loss retransmission mechanism
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-11-18
    • 文件大小:5242
    • 提供者:李波
  1. crcGenerator

    0下载:
  2. crc校验产生器,输入信息位,输出加校验位的信息位-CRC checksum generator, the input information bits, the output of information bits plus parity bit
  3. 所属分类:Other systems

    • 发布日期:2017-12-04
    • 文件大小:1090
    • 提供者:yupeng
  1. taoshuangshuang

    0下载:
  2. VC编写的CRC循环校验码,实现简单的CRC校验,信息位加校验位-The VC prepared CRC cyclic checksum achieve simple CRC checksum information bits plus parity bit
  3. 所属分类:GUI Develop

    • 发布日期:2017-12-01
    • 文件大小:4786736
    • 提供者:陶双双
  1. fcs

    0下载:
  2. 本程序基于vc6.0,用MFC编写,用于产生CRC校验后缀码!-The program is based on vc6.0, using MFC, suffix code used to generate the CRC check!
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:4553932
    • 提供者:邹存
  1. crc_c

    0下载:
  2. 采用KEIL C51编写 CRC 校验程序;一般,在文件或者工业控制中,用于通讯时,校验收发数据;很有参考价值;-KEIL C51 prepared the CRC procedures general, in a file or industrial control, used for communication, the check to send and receive data great reference value
  3. 所属分类:SCM

    • 发布日期:2017-11-10
    • 文件大小:5814
    • 提供者:zhf
  1. FrameParse

    1下载:
  2. 解析已封装好的Ethernet V2.0的帧封装文件(二进制文件,见作业附件),判断共有多少个帧,每个帧的各字段内容,检测CRC校验字段是否正确。具体要求如下: (1)程序为命令行程序,可执行文件名为FrameParse.exe,命令行格式为:FrameParse input.-Resolution has encapsulated the Ethernet V2.0 frame encapsulation files (binaries, see working attachment),
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-11-10
    • 文件大小:1508871
    • 提供者:高德政
  1. Transceivers

    0下载:
  2. MicroChip单片机之射频收发包括crc校验-The RF transceivers incluing crc from Microchip
  3. 所属分类:SCM

    • 发布日期:2017-12-02
    • 文件大小:40711
    • 提供者:盛可帕
  1. CRC-Code

    0下载:
  2. 16位的CRC(循环冗余校验)的C语言实现源代码,可以供大家借鉴一下-16-bit CRC (Cyclic Redundancy Check) C language source code for all to learn
  3. 所属分类:Communication

    • 发布日期:2017-11-17
    • 文件大小:921
    • 提供者:fei
« 1 2 ... 39 40 41 42 43 4445 46 47 48 49 50 »
搜珍网 www.dssz.com