CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - dds verilog

搜索资源列表

  1. dds_generater

    0下载:
  2. 波形发生器,可以生成正弦波、三角波、方波、锯齿波;可以选择输出频率和幅度,基于DDS设计,verilog和QuartusII开发-Waveform generator can generate sine, triangle, square wave, sawtooth wave you can the output frequency and amplitude, DDS-based design, verilog and development QuartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5154290
    • 提供者:zhang
  1. FPGA_phase_lock_demodulation

    2下载:
  2. FPGA 用Verilog语言实现数字锁相解调系统,包含了正交的DDS函数发生器和相应的AD驱动-FPGA digital demodulation system in Verilog lock, comprising a DDS orthogonal function generator and driving the corresponding AD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:47524864
    • 提供者:gdres
  1. DDS_BPSK

    1下载:
  2. 基于DDS的BPSK调制器设计Verilog源码- U57FA u4E8.08 u868
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:45833216
    • 提供者:不言中
  1. sin

    0下载:
  2. 能够实现正弦波的输出以及通过频率控制字与相位控制字控制正弦波的相位与频率。(The output of the sine wave can be realized and the phase and frequency of the sine wave can be controlled by two control words.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:3072
    • 提供者:BCQC
  1. dds_rom

    0下载:
  2. 基于查找表的DDS的Verilog实现,分为相位累加器模块、ROM模块和顶层DDS模块(Verilog implementation of DDS based on lookup table)
  3. 所属分类:汇编语言

    • 发布日期:2018-05-01
    • 文件大小:3072
    • 提供者:呱啤教教主
« 1 2 ... 6 7 8 9 10 11»
搜珍网 www.dssz.com