CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga 开发板

搜索资源列表

  1. Ram_FIFO

    0下载:
  2. 利用Basys2 FPGA 开发板实现FIFO_ram -Basys2 FPGA development board to achieve FIFO_ram
  3. 所属分类:Other systems

    • 发布日期:2017-11-26
    • 文件大小:200033
    • 提供者:任志宏
  1. verilog-example

    0下载:
  2. 以前用XC3S400AN的fpga开发板做的实验,供新手参考-XC3S400AN fpga development board to do the experiment, for the novice reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:247635
    • 提供者:李广辉
  1. fPGA_LED

    0下载:
  2. FPGA开发板做的一个简单LED驱动,使用Verilog语言实现- This is an example of a simple 32 bit up-counter called simple_counter.v It has a single clock input and a 32-bit output port module simple_count(input clock , output end of module counter
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-22
    • 文件大小:1685514
    • 提供者:zhanghf
  1. bin2chuan

    0下载:
  2. 在FPGA开发板上座的输出波形的实验,输出波形通过示波器显示出来-// This is an example of a simple 32 bit up-counter called simple_counter.v // It has a single clock input and a 32-bit output port module simple_count(input clock , output reg [31:0] counter_out) always
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:319394
    • 提供者:zhanghf
  1. sequence_detector

    0下载:
  2. 序列检测器的设计师用Verilog语言实现的,实现了状态之间的有效处理,在FPGA开发板上可运行-module xulie_check(clk,rst,x,y) output y input clk,rst,x reg y reg [2:0] state parameter s0=0,s1=1,s2=2,s3=3,s4=4,s5=5,s6=6,s7=7 always@(posedge clk or negedge rst)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:346911
    • 提供者:zhanghf
  1. DEMO_V

    0下载:
  2. 黑金FPGA开发板的DEMO 程序,适合初学者入门级, quartus12.0下面编译通过-The black gold FPGA development board DEMO program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:3249595
    • 提供者:lxinbing
  1. VHDL_LCDPUART_example10

    0下载:
  2. VHDL实现的串口通讯和1602液晶显示的实验程序,可以从PC发送数据到FPGA,并在LCD上显示,也可从FPGA开发板上键入数据,在LCD上显示,并通过串口发送到PC机上,适合初学者入门使用,-VHDL realization of the experimental program of serial communication and 1602 LCD, you can send the data from the PC to the FPGA, and displayed on the L
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:2178568
    • 提供者:linbaoluo
  1. digitalclock_demo

    0下载:
  2. 该程序适用于xilinx公司的FPGA开发板,spartan3E系列250型号 通过verilog编程实现数字钟的功能,下板子验证可用!-This procedure applies to xilinx FPGA development board Series 250 Model spartan3E digital clock verilog programming under the board to verify available!
  3. 所属分类:Other systems

    • 发布日期:2017-11-08
    • 文件大小:275788
    • 提供者:秋日波尔卡
  1. Columbia-University-on-VGA-video

    0下载:
  2. vga的现实原理与实际应用,基于DE2 FPGA开发板的应用,很透彻,很贴切,很有参考价值-vga reality principle and practical application, based on the application of the DE2 FPGA development board, very thorough, very appropriate, great reference value
  3. 所属分类:Picture Viewer

    • 发布日期:2017-11-21
    • 文件大小:382573
    • 提供者:wangxing
  1. xuliejianceqi

    0下载:
  2. 在FPGA开发板上用硬件描述语言实现一个状态序列检测器,比如边沿检测器等-FPGA verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:242624
    • 提供者:谢翔
  1. jiaotongdeng

    0下载:
  2. 交通信号灯自动控制器,能下载到FPGA开发板,自动交通灯控制程序,由VHDL编写,环境为QUTUS2-Traffic signal controller, can be downloaded to the FPGA development board, automatic traffic light control procedures, written by VHDL environment QUTUS2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:1807983
    • 提供者:dengnana
  1. DE2-70

    0下载:
  2. DE2-70 FPGA开发板学习实例及代码,Verilog HDL-DE2-70 FPGA development board learning examples and code, Verilog HDL
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-03
    • 文件大小:5267198
    • 提供者:李波
  1. tftlcd

    0下载:
  2. 正点原子tftlcd的fpga驱动(三个tft*.v),还包括了大西瓜fpga开发板的数码管驱动和一个运行屏保的小功能,quartus6.0下开发。除了初始化代码,其他控制与主流tftlcd兼容。-verilog languge tftlcd driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:4832755
    • 提供者:Chen Hao
  1. FA161-SCH

    1下载:
  2. 联华众科FPGA开发板FA161核心器件为 Altera Cyclone系列FPGA EP1C6,FA161板载有SDRAM,SRAM,FLASH方便制作各种应用,开发板所带资料中包括了上位机与开发板USB通信,上位机与开发板以太网通信,上位机与开发板串口通信例程。FA161板载有USB 1.1,USB 2.0(CY7C68013A)接口,以太网接口(RTL8019AS)。FA161上可以进行HDL程序开发,可以进行nios ii程序开发,可以结合MATLAB制作DSP Builder应用。FA
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-09-18
    • 文件大小:2085888
    • 提供者:qchwu
  1. led_cycle

    0下载:
  2. 本程序实现了花样流水灯设计,并成功的在FPGA开发板上进行验证。-Figure running water light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:203316
    • 提供者:柳炳琦
  1. sing

    1下载:
  2. 实现了蜂鸣器唱歌的功能,并在FPGA开发板上实现-Realized the function of buzzer singing and implementation on FPGA development board
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-24
    • 文件大小:135794
    • 提供者:柳炳琦
  1. two_color

    0下载:
  2. 实现了双色灯的点亮功能,并在FPGA开发板上实现-Has realized the double color lamp light up function, and implementation on FPGA development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:91130
    • 提供者:柳炳琦
  1. more_color

    0下载:
  2. 实现了多个灯的点亮功能,并在FPGA开发板上实现-Implements multiple lights light up function, and implementation on FPGA development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:484499
    • 提供者:柳炳琦
  1. pll_use

    0下载:
  2. 实现了用FPGA调用pll的功能,并在FPGA开发板上实现-Implemented with FPGA calls to the function of the PLL and implementation on FPGA development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:211925
    • 提供者:柳炳琦
  1. hvdl

    0下载:
  2. 实现60秒秒表功能,代码简单,可扩展,可操作,已在FPGA开发板上实现-Achieve 60 seconds stopwatch function, the code is simple, scalable, operable in FPGA development board to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:682
    • 提供者:gong
« 1 2 ... 12 13 14 15 16 1718 19 20 21 22 ... 41 »
搜珍网 www.dssz.com