CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga D

搜索资源列表

  1. FPGA_Based_Multi-channels_Serial_ADC_controller.ra

    0下载:
  2. 采用FPGA控制ADS7844进行模数转换。ADS7844 是Burr_Brown公司推出的一种高性能、宽电压、低功耗的12 b串行数模转换器。它有8个模拟输入端,可用软件编程为8通道单端输入A/D转换器或4通道差分输入A/D转换器,其转换率高达200 kHz,而线性误差和差分误差最大仅为±1 LSB。-Using FPGA control ADS7844 analog to digital conversion. ADS7844 is a Burr_Brown the company intr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:161251
    • 提供者:Liu Bin
  1. tiaozhi

    0下载:
  2. 用NIIOS写串口控制程序,控制FPGA及外部D/A,同时产生函数调制信号-Write serial port control program with NIIOS, control FPGA and the external D/A, while producing function modulated signals
  3. 所属分类:software engineering

    • 发布日期:2017-05-23
    • 文件大小:7261153
    • 提供者:keanqi
  1. DE2_i2sound

    0下载:
  2. 基于FPGA的音频信号A/D转换,适用于DE2开发板。-FPGA-based audio signal A/D conversion, for DE2 development board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:34880
    • 提供者:wendy
  1. adc5510

    0下载:
  2. 使用VHDL语言编写的A/D转换程序,可在FPGA平台使用-Using the VHDL language in the A/D conversion process can be used in the FPGA platform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:768
    • 提供者:刘浏
  1. bch155

    0下载:
  2. 一种纠3错BCH译码器的FPGA设计文章基于一种较新颖的纠3错BcH码逐步译码算法和结构原型,提出了BCH 译码器的完整实用化结构,采用FPeA设计并实现了纠3错BCH(31,16)译码器。该译码 方案的特点是主体结构通用、资源占用少、运行速度高,非常适合于需要对传输帧的帧头实 施特殊保护的数据传输应用场合。 主题词壁垒旦堡璺塑三堡£里堡垒 O 引-Based on a noVel step.by—step decoding algorithm and its stnIctu
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:185130
    • 提供者:谢先念
  1. DAC0832

    0下载:
  2. D/A数字模拟转换模块采用的是DAC0832芯片,该芯片为双列直插20脚封装,最高转换速率为1MHz,管脚定义如3所示。在实验板中,D/A模块输入直接与FPGA相连,输出接口为OUTPUT,由于DAC0832芯片为电流输出,后面跟两级运算放大器将电流输出转换成电压输出,具体电路参见DAC0832数据手册,运放采用TL081芯片。 DAC0832可直接进行数据输出完成DA转换,无需其他控制信号-D/A digital analog conversion module is DAC0832 c
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:2535
    • 提供者:筱筱
  1. MX7821

    0下载:
  2. A/D模拟数字转换模块采用的是MX7821芯片,该芯片为双列直插20脚封装,管脚定义如图1所示。具体可以参考MX7821数据手册。MX7821读数据时序如图2所示。注意:MX7821最高工作频率为1MHz,也就是读信号RD周期不要小于1us。通信系统大型实验板上的MX7821没有外围芯片,有一个INPUT输入接口,输出8位数据线直接与FPGA相连。一个简单的数据采集程序MX7821.v-A/D digital conversion module is MX7821 chips, the chip
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:936
    • 提供者:筱筱
  1. DDR SDRAM Design Tutorials

    2下载:
  2. Altera公司的基于NIOSII设计DDR和DDR2内存的资料,很有帮助的,-Based on Altera' s DDR and DDR2 memory NIOSII design information, useful,
  3. 所属分类:VHDL编程

    • 发布日期:2013-01-18
    • 文件大小:3155168
    • 提供者:iyoung
  1. spi

    0下载:
  2. 串行外围接口,可用于FPGA器件与串行A/D/A的通信-Serial peripheral interface, which can be used for communication between FPGA devices and serial A/D/A
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1163
    • 提供者:Matrix
  1. jishuji

    0下载:
  2. 将基本RS触发器,同步RS触发器,集成J-K触发器,D触发器同时集成一个FPGA芯片中模拟其功能,并研究其相互转化的方法。-The basic RS flip-flop, synchronous RS flip-flop, integrated JK flip-flop, D flip-flop while a FPGA chip analog integrated function, and to study their mutual transformation method.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1303742
    • 提供者:shenlina
  1. dba_design_based_on_fpga_and_dsp

    0下载:
  2. 本文主要介绍了一个自适应波束形成器的原理及其实现方法,结合当今最先进的可编程芯片,包括数字信号处理器(DSP),现场可编程逻辑门阵列(FPGA)实现了数字波束形成,适用于如3坐标雷达系统等复杂阵列信号处理系统。其研制成果已应用在多部相控阵雷达中,缩小了我国在这个领域与其他国家之间的差距,具有重要的经济意义和军事意义。-This paper describes an adaptive beamformer principle and implementation method, combining
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:8523
    • 提供者:管吉兴
  1. Realization_of_FPGA_for_LDPC_encoding

    0下载:
  2. 低密度奇偶校验码(简称LDPC码)是目前距离香农限最近的一种线性纠错码,它的直接编码运算量较大,通常具有码长的二次方复杂度.为此,利用有效的校验矩阵,来降低编码的复杂度,同时研究利用大规模集成电路实现LDPC码的编码.在ISE 8.2软件平台上采用基于FPGA的Verilog HDL语言实现了有效的编码过程,为LDPC码的硬件实现和实际应用提供了依据-Abstract:Low.density parity·check code(LDPC code)is a kind of linear eror
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:166294
    • 提供者:秦小星
  1. adc_tlc3548

    0下载:
  2. 用于FPGA连接的A/D转换芯片TLC3548-FPGA connections for A/D converter chip TLC3548
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1283
    • 提供者:zhangxinye
  1. UHF-RFID-CRC

    1下载:
  2. 本文首先研究了IsO/IECl8000.6标准中A、B两类短程通讯的前向链路与返回 链路的数据编码方式,对(FMO)双相间隔编码、(PIE)脉冲间隔编码、曼切斯特码 的编解码方式和技术参数进行了深入的分析,并利用FPGA实验平台对这三种编 码的编、解码电路进行了设计和仿真。然后对UHF RFID系统的差错控制技术原理 进行了探讨,重点研究了ISo/IECl8000.6标准中采用的数据保护与校验技术,即 循环冗余校验(CRC)技术。分析了基于线性反馈移位寄存器(LFSR)实现C
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4366124
    • 提供者:HY jian
  1. The-pulse-signal-generator

    0下载:
  2. 脉冲信号发生器:采用DDS技术实现脉冲信号的周期、脉冲宽度、幅值的数控调节。通过单片机与FPGA的并行通信技术将频率控制字及矩形脉冲数据传送给FPGA的双口RAM。模拟输出通道则将信号通过100MHz、8位D/A转换器将波形数据转换成模拟脉冲信号,最后通过高速运放构成的放大器放大,实现幅度连续可调。-The pulse signal generator: using the DDS technology to achieve the pulse signal cycles, pulse widt
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-23
    • 文件大小:19527
    • 提供者:张节
  1. lab2

    0下载:
  2. D-type storage elements The circuit below contains three different types of storage element: a gated (transparent) D latch, a positive-edge triggered and negative edge triggered D-type flip-flops. Write a VHDL file that instantiates the th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3438435
    • 提供者:sunyan
  1. LCD-Display-Driver-Design

    0下载:
  2. : 为了将液晶显示器( L C D) 用于军用设备和一些特殊领域, 采用工, _ l k N . F P G A, ~片, 自 行设计L C D显示 驱动逻辑, 研制一种能够可靠工作于. 4 0 ~ + 6 5 ℃的L C D显示驱动器。 该显示驱动器能够接收隔行扫描 诵视 曩 信号 。 逝待去隔行和缩放处理 。 买现清聚显示。 簧通过 蔷低温头验o-: For the liquid crystal display (LCD) used in military equipment a
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:157622
    • 提供者:ads1_2
  1. state_FPGA

    0下载:
  2. 基于FPGA的状态机,应用于高速A/D采样上,通过测试-FPGA-based state machine, used in high-speed A / D sampling, the test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:268870
    • 提供者:宋珂
  1. DesignCPCIanalogonFPGA

    0下载:
  2. 本文实现了8通道的12位D/A模拟输出板卡的设计。该设计是基于FPGA的3U CPCI板卡,可以提供8通道的模拟电压和电流输出,各路电压输出范围可以配置成0~5V、0~10V、-5~5V或-10V~10V,各路输出电流可以配置成4~20mA、0~20mA或0~24mA。本设计摒弃了常规的CPCI接口芯片,采用FPGA十PCI IP CORE的设计方案,大幅度提高了系统的集成度和调试速度,缩短了系统的开发周期。方案使用专门的WDM (windows driver model)开发工具Driver
  3. 所属分类:Project Design

    • 发布日期:2017-03-24
    • 文件大小:129628
    • 提供者: 反对撒
  1. digital-storage-oscilloscope

    0下载:
  2. 本题设计一个数字存储示波器,以Xilinx公司20万门FPGA芯片为核心,辅以必要的外围电路(包括信号调理、采样保持、内部触发、A/D转换、D/A转换和I/O模块),利用VHDL语言编程,实现了任意波形-The problem to design a digital storage oscilloscope, to Xilinx, Inc. 200,000 FPGA chip as the core, supplemented by the necessary peripherals (incl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:14371
    • 提供者:Jasen
« 1 2 3 4 56 7 8 »
搜珍网 www.dssz.com