CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga de2

搜索资源列表

  1. DE2_labs_vhdl

    0下载:
  2. 基于DE2的VHDL的练习,适合新手熟悉FPGA的编程,了解开发板,设计硬件系统-DE2 of VHDL-based exercises, suitable for novice familiar with FPGA programming, understanding of the development board, hardware design
  3. 所属分类:Project Design

    • 发布日期:2017-05-03
    • 文件大小:826171
    • 提供者:木小易
  1. fpganes-master

    0下载:
  2. 使用FPGA实现NES超级玛丽游戏!采用DE2开发板开发!亲测,很不错!-fpga NES
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13728177
    • 提供者:黄海岸
  1. nios_EPCS_SDRAM

    0下载:
  2. 基于niso ii 13.1开发的测试系统,使用QSYS设计了硬件系统,包含了全部模块,在硬件基础上开发了相应的软件,测试成功了epcs 和sdram,基于DE2开发板,可以直接使用!大家只需要开发软件即可!-DE2 FPGA NIOS 13.1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-16
    • 文件大小:24485931
    • 提供者:黄海岸
  1. sdram_demo_de2_115

    0下载:
  2. 适用于DE2 115开发板的SDRAM测试代码,基于黑金开发板改编,可以直接下载到DE2 115上面。内部有所有代码解释-FPGA SDRAM_TEST DE2 115
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4131236
    • 提供者:黄海岸
  1. DE2_Default

    0下载:
  2. 实现FPGA的VGA实验在DE2开发板上,应该可以的·-VGA FPGA experiments on the DE2 development board, should be able to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:270361
    • 提供者:林昌樑
  1. Nand_Gate

    0下载:
  2. Controlling of LEDs in DE2-115 FPGA board using slide switches.-Controlling of LEDs in DE2-115 FPGA board using slide switches.
  3. 所属分类:Project Design

    • 发布日期:2017-05-13
    • 文件大小:3013582
    • 提供者:mekuria
  1. SDRAM controller

    0下载:
  2. This SDRAM controller is useful for SDR_SDRAM IC's can be integrated with the verilog code. The code is developed for the altera FPGA's and it can be ported to other FPGA's easily. The code is verified with terasic DE2-115 board and DE2 boards.
  3. 所属分类:VHDL编程

  1. Lcd_800_480

    0下载:
  2. 基于DE2-70开发板的FPGA和NIOS系统设计的LCD(800-480)液晶显示控制系统的程序设计。-DE2-70 FPGA-based development board and the NIOS system design LCD (800-480) LCD control system programming.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-11
    • 文件大小:18913373
    • 提供者:张良
  1. ECE-127

    0下载:
  2. This codes are pattern identifier implemented on Field Programmable Gate Array (FPGA). The board used is cyclone IV De2-115.
  3. 所属分类:Software Testing

    • 发布日期:2017-04-12
    • 文件大小:1203
    • 提供者:poca
  1. OV7670_FPGA_DE2

    1下载:
  2. 基于Verilog语言的OV7670摄像头驱动,在DE2-115FPGA开发板上实现,显示还有点小问题-Based on Verilog language OV7670 camera driver, the DE2-115 implementation on fpga development board, shows that there are some small problems
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:7884574
    • 提供者:ne
  1. refrigerator

    0下载:
  2. 基于DE2 FPGA开发板的电冰箱控制系统源代码。Quartus 2开发环境。主要功能有检查系统输入,PWM输出,控制LED等。-Based on DE2 FPGA development board refrigerator control system source code. Quartus 2 development environment. The main function is to check the system input, PWM output, control LED a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-15
    • 文件大小:874496
    • 提供者:wu
  1. VGA-(1)

    0下载:
  2. 基于FPGA的VGA接口代码,引脚已按装好,板子DE2-115-Based on FPGA of VGA interface code, the pin has been installed, board de2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:3123952
    • 提供者:赵剑潇
  1. 自己动手写CPU

    2下载:
  2. ? Code文件夹 提供了本书每一章涉及的OpenMIPS源代码、测试程序。 ? Tools文件夹 提供了GNU工具链的安装文件,以及一个小工具Bin2Mem.exe,该工具用来将二进制数文件转化为可以用于ModelSim仿真的格式。 ? Doc文件夹 提供了本书使用的一些IP核的说明手册,包括UART控制器、SDRAM控制器、GPIO模块等。还提供了FPGA开发平台DE2的说明手册。(Code folder Provides the OpenMIPS source code and
  3. 所属分类:VHDL/FPGA/Verilog

  1. 8051-master

    0下载:
  2. 设计兼容51的指令集的处理器架构 编写兼容51处理器的Verilog代码 仿真 验证测试处理器的功能和性能(The design includes a processor whose instruction set is compatible to the industrial standard 8051 and its FPGA implementation. Through the analysis of instructions, I determine the CPU inte
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:13230080
    • 提供者:PhoebeBNN
  1. dds6_ise12migration

    0下载:
  2. 以DE2为开发平台,采用Veriolg语言编程,实现了DDS信号输出,频率,步进,波形输出均可调,采用Modelsim以及FPGA内嵌逻辑分析仪验证设计的正确性,可以满足一定的工程需求。(With DE2 as the development platform and Veriolg language programming, the DDS signal output, frequency, step and waveform output can be adjusted. The corre
  3. 所属分类:VHDL/FPGA/Verilog

  1. sdram_control

    1下载:
  2. SDRAM驱动,在DE2-115上实现,连接VGA显示模块,有清晰注释详细介绍,FPGA初级学习。
  3. 所属分类:VHDL编程

« 1 2 ... 6 7 8 9 10 11»
搜珍网 www.dssz.com