CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - i2c vhdl code

搜索资源列表

  1. VHDL_Development_Board_Sources

    0下载:
  2. 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4642650
    • 提供者:Jawen
  1. i2c_modular

    0下载:
  2. 本程式為使用VHDL撰寫的I2C controller modular, 使用者可以輕易的套用, 方便控制i2C的硬件. 也可以從code style了解I2C的spec. 動作模式. 極適用於初學者.-program for the use of the VHDL written I2C controller modular, Users can easily use, i2C convenient control of the hardware. can understand from th
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8569
    • 提供者:明華
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. i2c_ise9migration

    0下载:
  2. others example of code VHDL for I2c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1774352
    • 提供者:DAVI
  1. FPGA_Interface_Equipment

    0下载:
  2. 跑马灯、串口、矩阵键盘、蜂鸣器、I2C、数码管、拨码开关 vhdl verilog源代码(精华)-Marquees, serial port, matrix keypad, buzzer, I2C, digital control, DIP switch vhdl verilog source code (extract)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1591450
    • 提供者:李银
  1. I2C_bus

    0下载:
  2. 对I2C总线的简单操作,利用VHDL语言编写,在Quartus 8.1环境下测试通过,可以建立波形文件做仿真实验-very simple code foe I2C bus operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:473907
    • 提供者:youungsky
  1. I2Cread-and-write-the-language

    0下载:
  2. 用Altera Quartus II 的VHDL语言完成的I2C读写数码管显示源代码-Altera Quartus II VHDL with the completion of the I2C read and write the language digital display source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:617885
    • 提供者:nanana
  1. i2c_ctrl

    0下载:
  2. 程序是用VHDL语言在quartus开发环境中实现的I2C通信的源代码-VHDL language program is the development environment in quartus I2C communication to achieve the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2251
    • 提供者:杨伟军
  1. Package

    0下载:
  2. Package consists of two pdf files: i)cdr project: theory and implementation of vhdl ii)I2C bus controller: xilinx implementation of uC interface on CPLD Package consists of 7 vhdl files: string_detector: detects the continuous string of 11
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4604811
    • 提供者:Sharav
  1. I2C_ise7_bak

    0下载:
  2. Uncomment the following library declaration if instantiating any Xilinx primitives in this code. library UNISIM use UNISIM.VComponents.all I2C DRIVE IN VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:970053
    • 提供者:mehdi
  1. fVerrilog_Devr

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BBCD码,加法器,减法器,简简单易懂状态机,四位比较器,7段数码管,i2c总线,lcd液晶LCD显示出来,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟 可直接使用。 -Friends, I Jawen. See previous upload a CPLD Development Board VHDL so
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-05
    • 文件大小:3170695
    • 提供者:qtzx
  1. VHDL-I2C

    0下载:
  2. 精品I2C代码,有多种不同设置,主、从、地址可调。-Boutique I2C code, there are many different settings, master, slave, address adjustable.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:76207
    • 提供者:t
  1. I2C_SLAVE

    0下载:
  2. I2C总线从机的VHDL代码实现,希望对相关设计人员提供参考-The I2C bus from the VHDL code to achieve,hoping to provide reference for the related design personnel
  3. 所属分类:source in ebook

    • 发布日期:2017-04-11
    • 文件大小:1413
    • 提供者:ls112853
  1. trial_i2c

    0下载:
  2. i2c code for vhdl implementation,i2c main code with u-art_tx.vhd file and i2c_master.vhd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:123646
    • 提供者:nikhil
  1. i2c_master_bit_ctrl

    0下载:
  2. I2C控制总线主机,按照字节写设计的verilog代码,由于选项中没有verilog这项,因此选择VHDL-I2C control bus master, according to the byte write verilog code design, because the option is not verilog this, so choose VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-13
    • 文件大小:1684
    • 提供者:Luke
« 1 2 3 4»
搜珍网 www.dssz.com