CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - keyboard VHDL

搜索资源列表

  1. a-design-of-Matrix-keyboard

    0下载:
  2. 关于矩阵键盘的设计方法,四乘四,基于vhdl语言-vhdl Matrix keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:221805
    • 提供者:wxt
  1. keyboard

    0下载:
  2. vhdl简单的键盘程序,可以通过它来初步的了解vhdl键盘程序的相关编写,具体功能是按键并显示相关的代码-vhdl simple keyboard program written in it to a preliminary understanding of vhdl keyboard program, the specific function keys and display the code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:646
    • 提供者:
  1. FPGA_ps2_lcd

    1下载:
  2. FPGA实现 LCD1602 显示 PS/2 键盘的键值,熟悉并掌握液晶 1602 显示屏的使用方法及PS/2键盘的接口标准,学习利用Verilog-HDL语言编写有限状态机实现较为复杂的设计与应用。-LCD1602 FPGA realizing that the PS/2 keyboard keys, familiar with and master the use of liquid crystal display 1602 method and PS/2 keyboard interfac
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-16
    • 文件大小:81920
    • 提供者:liu
  1. typing

    0下载:
  2. 以通过单片机按键弹奏乐曲单片机采用打字, 喜欢VHDL的朋友可以下了看下-51 single-chip experiment with the program hope you can help, including Happy Valley decimal significant organ SCM keyboard, using the 89C52 microcontroller button to play music microcontroller. On the keyb
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2495930
    • 提供者:Tim Wong
  1. keyboard

    0下载:
  2. 一个VHDL按键测试程序,对初学者非常有帮助。-VHDL key test program, very helpful for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:386515
    • 提供者:hsj
  1. keyboard

    0下载:
  2. 基于VHDL的4*4矩阵键盘扫描,包括按键次数计数功能,已处理防抖、长按、双键-Count function based on VHDL-4* 4 matrix keyboard scanning, including the number of keys, and have been processed image stabilization, long, double bond
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-10
    • 文件大小:1639027
    • 提供者:Charles
  1. PS2-keyboard

    0下载:
  2. 基于fpga的ps2键盘代码,用vhdl编写-ps2 keyboard design based on fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2381170
    • 提供者:登入
  1. VHDL-Keyboard

    0下载:
  2. 设计制作一个检测4*4矩阵键盘的按键编码的实验,把实际按键的键值的八位编码先转换成从0000—1111的编码,再译成数码管能识别的八位编码,在数码管动态显示时,4*4矩阵键盘的第一行对应00—03,第二行对应04—07,第三行08—11,第四行对应12—15。-Design a 4* 4 matrix keyboard key coding experiments to detect the key the actual key octet coded first convert from 00
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-26
    • 文件大小:15746
    • 提供者:zj
  1. keyscan4X4

    0下载:
  2. FPGA矩阵键盘,VHDL编写,亲测可用-The FPGA matrix keyboard, VHDL prepared, pro-test available
  3. 所属分类:Finance-Stock software system

    • 发布日期:2017-04-14
    • 文件大小:3407
    • 提供者:chenlisheng
  1. vhdlkeyscan4X4

    0下载:
  2. FPGA矩阵键盘,VHDL编写,亲测可用-The FPGA matrix keyboard, VHDL prepared, pro-test available
  3. 所属分类:Finance-Stock software system

    • 发布日期:2017-04-07
    • 文件大小:3411
    • 提供者:chenlisheng
  1. keyboard

    0下载:
  2. 实现从键盘输入的vhdl程序,通过按键输入,扫描,键盘去抖动,键盘输出-input from the keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:5543
    • 提供者:gtt
  1. keyboard

    0下载:
  2. fpga/cpld按键扫描vhdl语言代码(4x4按键阵列)-Fpga/cpld keypad scanning VHDL language code (4x4 scan)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:364311
    • 提供者:黄志超
  1. key_smiao

    0下载:
  2. vhdl语言编写的矩阵键盘采集程序,调试通过-the matrix keyboard VHDL language acquisition program, debug through
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:1054
    • 提供者:lh
  1. PS2-keyboard-interface-display

    0下载:
  2. 1、学习用FPGA设计简单通信协议的方法。 2、学习PS2的工作原理,扫描码的ASCII码的转换。 3、掌握VHDL编写中的一些小技巧。 -One, learning to use a simple communication protocol FPGA design methods. 2, learning PS2 works, scan code ASCII conversion. 3, master VHDL prepared some of the tips.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:1440643
    • 提供者:于治成
  1. keyboard

    0下载:
  2. VHDL a PS2 keyboard program to view some buttons on 7seg digit display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:259423
    • 提供者:Pawel
  1. VHDL-based-music-player-design

    0下载:
  2. 为本人2012年下学期的EDA大作业,含 设计文档 和 源代码。所设计的系统在网上很难找到(当时我就没找到,特别是源码),二本系统又具有一定的实用性,只要在ROM中存储不同的歌曲编码,即可播放不同的乐曲。 文章详细介绍了“具有自动乐曲演奏功能的电子琴”的FPGA设计原理与方法,使用了ROM存储音符和节拍,矩阵键盘控制整个系统。 源码注释清楚,容易理解。 欢迎访问我的博客:http://blog.csdn.net/enjoyyl-For the I semester of 20
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4927169
    • 提供者:刘志
  1. VHDL-flutterfree-counter

    0下载:
  2. 本实验主要完成的实验是完成4×4键盘扫描的,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。-This experiment is to complete 44 experiments done keyboard scan, and then get their keys, and encode to carry identification keys, and the corresponding key value to be displayed.
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:10387
    • 提供者:陈芳
  1. keyboard

    0下载:
  2. 使用VHDL语言编写的可编程电子琴,可以实现演奏模式和回放模式-Using VHDL language programmable keyboard, can play mode and playback mode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:180034
    • 提供者:summer
  1. piano

    0下载:
  2. 电子琴 原创 作业 VHDL 采用计数器分频,内含简单儿歌数首,爱迪克EDA实验箱,有数码管与LED显示,采用键盘式输出,两行,中音高音。(Electronic piano original work VHDL, using counter frequency division, contains a few simple nursery rhyme, Edik EDA experimental box, there are digital tube and LED display, usin
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:1101824
    • 提供者:qengleikangjen
  1. autoseller

    0下载:
  2. (1)可以输入硬币和纸币, 硬币的识别范围是5角和1 元的硬币,纸币的识别范围是1 元、5 元,10 元,20元,50元,100元。可以连续多次投入钱币。 (2)可以选择的商品种类有16种,价格分别为1-16元,顾客可以通过输入商品的编号来实现商品的选择。 即有一个小键盘(0-9按键)来完成,比如输入15时要先输入1,再输入5。 (3)顾客选择完商品后,可以选择需要的数量。每次可以选择最多三个商品。然后显示出所需金额和已投币总币值。在投币期间,顾客可以按取消键取消本次操作,钱币自动退出。((
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:8380416
    • 提供者:johnnewer
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 16 »
搜珍网 www.dssz.com