CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - keyboard VHDL

搜索资源列表

  1. key_borad_test

    0下载:
  2. 本程序为VHDL语言编写的键盘置数程序,并用液晶显示-procedures for the preparation of the VHDL language keyboard home several procedures, and using LCD
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:3957
    • 提供者:tmx
  1. jianpan_vhdl

    0下载:
  2. 用VHDL实现的键盘扫描程序 可以稍微修改就可使用-using VHDL keyboard scanning procedure can be slightly modified to use
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:172379
    • 提供者:金军
  1. key_scan

    0下载:
  2. 程序主要是用硬件描述语言(VHDL)实现: 4*4键盘扫描,简洁明了,通俗易懂,比较适合VHDL初学者-procedure was used in hardware descr iption language (VHDL) to achieve : 4 * 4 keyboard scan, concise, easily understood and more suitable for beginners VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:308451
    • 提供者:刘赛
  1. vhdl_vga_kb

    0下载:
  2. VHDL的显示驱动程序,VHDL的PS2键盘驱动程序-VHDL display drivers, VHDL PS2 Keyboard Driver
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3036
    • 提供者:张明凯
  1. FPGAprogram1

    0下载:
  2. 常用键盘消抖模块——VHDL源程序!!!对vhdl编程的人具有很大的帮助,不可不看 -common keyboard Consumers shaking module -- VHDL source! ! ! Right VHDL programming of great help, I can not s
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2518
    • 提供者:许嘉
  1. yyin

    0下载:
  2. 这是一个语音程序,通过VHDL编译了.大家可以直接调用.其中还包括了键盘程序有需要可以下来-This a voice procedures, through a VHDL compiler. you can directly call. It also includes a keyboard procedures need to look at it down
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:170693
    • 提供者:李飞
  1. Tetrix

    0下载:
  2. 采用VHDL语言写的PS2键盘/素标控制程序,-using VHDL wrote PS2 keyboard / S-control procedures, ..............................................
  3. 所属分类:Web服务器

    • 发布日期:2008-10-13
    • 文件大小:246828
    • 提供者:huxin
  1. S3Demo

    0下载:
  2. 用FPGA模拟VGA时序、模拟PS/2总线的键盘接口VHDL源代码,基于Xilinx spartan3-VGA FPGA timing simulation, simulation PS / 2 keyboard interface bus VHDL source code, Based on Xilinx spartan3
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:292348
    • 提供者:计算机
  1. ps2_soc2

    0下载:
  2. PS2的源代码VHDL语言实现,可以和计算机直接连接.做鼠标键盘接口.-PS2 source VHDL, and can be connected directly to the computer. So the mouse, keyboard interface.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:21369
    • 提供者:喻袁洲
  1. ps2_vhdl

    0下载:
  2. 利用vhdl实现FPGA芯片从PS2键盘读出数据(0-F) 并在数码管上显示 -use FPGA chip from the PS2 keyboard sensed data (0-F) and displayed on a digital control
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:875
    • 提供者:刘音
  1. jianpanshuru

    0下载:
  2. 基于vhdl的键盘输入,学校的作业,已经过验证,可用-based on the keyboard input, the school operations, which have been verified available
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:63469
    • 提供者:ly
  1. keyboard4_4

    0下载:
  2. 该代码是4乘4标准键盘扫描程序的源代码,用VHDL编写的,我在调试的时候忘记设置复位键了,大家也要注意了-The code is 4 x 4 standard keyboard scan a program's source code, prepared by the use of VHDL, I remember when debugging set the reset button, we have to pay attention to the
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1266
    • 提供者:yuxyoo
  1. jhvhjhk

    0下载:
  2. 乒乓球游戏机实验报告实验人: 大火虎设计课题: 用VHDL设计一个乒乓球游戏机,用开关来摸拟球手及裁判,用LED来模拟乒乓球,采用每局十一球赛制,比分由七段显示器显示. 设计思路: 采用按功能分块,将整个电路分成若干子程序,利用不同的子程序来实现记分,显示,键盘控制。设计过程: 1) 对4MHZ信号进行分频,得到所需的1HZ,及七段显示器所需的频率.存为CLOCKMAKE.VHD(注:仿真时所加的信号频率比这要高。)。 2) 设计一个子程序来描述裁判,左击球手,右击球手的动作对LED显示的影响,
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1120
    • 提供者:slw
  1. VHDLKEYBOARD

    0下载:
  2. 此模块用 VHDL 硬件描述语言来实现,对键盘设计的实际操作检验表明,此模块响应迅速、识别准确,较好地实现了键盘扫描和去抖动功能, 达到了预期的设计目的。同时,将状态机、扫描线、计数器等相关参数稍作改动,就可以扩展到实现不同键盘矩阵的设计-VHDL hardware descr iption language to achieve the keyboard design of the actual operation of testing show that This module in res
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:62968
    • 提供者:章菁
  1. KEYBOARD2222

    0下载:
  2. vhdl的键盘小程序,键盘的模拟,可以用-vhdl procedures for the small keyboard, keyboard simulation can be used
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:175187
    • 提供者:md
  1. jianpansaomiao

    0下载:
  2. 键盘模块,基于VHDL的源码,用于做计算器以及其他小型模块的应用-keyboard module, based on VHDL source code, spent calculators and other small application module
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:45724
    • 提供者:jk
  1. Dial

    0下载:
  2. vhdl经典源代码——键盘接口设计,入门者必须掌握-vhdl classical source code -- the keyboard interface design, beginners must master
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:737810
    • 提供者:jeffery
  1. saomiaojianpan

    0下载:
  2. 用于扫描矩阵键盘,并将扫描到的键盘值用数码管显示(Used to scan the matrix keyboard and display the scanned keyboard value with a digital tube)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:6719488
    • 提供者:cfplhys
  1. keyboardcyclone

    0下载:
  2. cyclone epc1 keyboard with stp
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:2684928
    • 提供者:igor5451
  1. 课程设计-数字钟

    2下载:
  2. 具有计时 设置时间 闹钟 秒表 功能的数字钟设计 外设矩阵键盘(Digital clock design peripheral matrix keyboard with the function of timing setting time alarm clock stopwatch)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-07-15
    • 文件大小:13769728
    • 提供者:peennnnnn
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 15 16 »
搜珍网 www.dssz.com